Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16805 Discussions

How to install Quartus II on Ubuntu 11.10

Altera_Forum
Honored Contributor II
4,189 Views

So from a fresh install of Ubuntu: 

 

11.1sp1_216_quartus_free_linux.sh 

chmod +x 11.1sp1_216_quartus_free_linux.sh 

./11.1sp1_216_quartus_free_linux.sh 

 

./11.1sp1_216_quartus_free_linux.sh  

Creating directory 11.1sp1_216_quartus_free_linux 

Verifying archive integrity... All good. 

Uncompressing Quartus II Web Edition (Free).......................................................................................................................................................................................................................................................................................................................................................................................................Extraction failed. 

 

So I try to change couple of things that didn't help? 

sudo dpkg-reconfigure dash <no> to set bash as default. 

ls -al /bin/sh 

lrwxrwxrwx 1 root root 4 2012-01-25 08:41 /bin/sh -> bash 

 

sudo apt-get install ia32-libs csh 

 

any ideas? 

 

 

# ######################################################## 

# UPDATE: [SOLVED] 

# ######################################################## 

sudo bash ./11.1sp1_216_quartus_free_linux.sh 

 

Add the following lines to the /etc/udev/rules.d/51-usbblaster.rules file. 

# USB-Blaster  

BUS=="usb", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6001", MODE="0666"  

BUS=="usb", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6002", MODE="0666"  

BUS=="usb", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6003", MODE="0666" 

 

sudo apt-get install libxerces-c28 

sudo apt-get install lib32icu44 

 

cd altera/11.1sp1/quartus/  

sudo cp /usr/lib/libxerces-c.so.28.0 linux64/libaxerces-c.so.26  

sudo cp /usr/lib/libicudata.so.44 linux64/libicudata.so.34 

sudo cp /usr/lib/libicuuc.so.44.2 linux64/libicuuc.so.33 

sudo cp /usr/lib/libicuuc.so.44 ../linux64/libicuuc.so.34 

 

sudo locale-gen en_US 

 

sudo apt-get install gtk2-engines-pixbuf
0 Kudos
23 Replies
Altera_Forum
Honored Contributor II
2,490 Views

You probably have a corrupted archive. 

 

Make sure the MD5sum matches what's on the website for the downloaded file. 

 

md5sum should be installed already, if not it will tell you what package you need when you try to use it. 

 

Pete
0 Kudos
Altera_Forum
Honored Contributor II
2,490 Views

Try 

 

sudo bash ./11.1sp1_216_quartus_free_linux.sh 

 

Don't ask me why ... it worked when I tried it :) 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
2,490 Views

@anakha (http://www.alteraforum.com/forum/member.php?u=2471

My md5sum was ok ;) 

 

sudo bash ./11.1sp1_216_quartus_free_linux.sh 

start the installation 

If the script is using specific feature of bash that are not supported in sh. 

 

so I replace in the file# !/bin/sh 

for # !/bin/bash 

 

and it solve the problem! So Altera should replace sh for bash in there script! Where is there bug report website to tell them? 

 

SUMMARIZED: 

sudo dpkg-reconfigure dash <no> 

sudo apt-get install ia32-libs 

sudo bash ./11.1sp1_216_quartus_free_linux.sh 

 

 

KA
0 Kudos
Altera_Forum
Honored Contributor II
2,490 Views
0 Kudos
Altera_Forum
Honored Contributor II
2,490 Views

hello all!!! 

 

 

someone can make usbblaster run on ubuntu 11.10???? 

 

i did 

 

1 add on /etc/rc.local 

mount --bind /dev/bus /proc/bus ln -s /sys/kernel/debug/usb/devices /proc/bus/usb/devices 

 

2 add on /etc/udev/rules.d/51-usbblaster.rules 

SUBSYSTEM=="usb", ENV{DEVTYPE}=="usb_device", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6001", MODE="0666", NAME="bus/usb/$env{BUSNUM}/$env{DEVNUM}", RUN+="/bin/chmod 0666 %c" 

 

and when i did lsusb i see 

 

Bus 001 Device 001: ID 1d6b:0002 Linux Foundation 2.0 root hub Bus 002 Device 001: ID 1d6b:0002 Linux Foundation 2.0 root hub Bus 003 Device 001: ID 1d6b:0001 Linux Foundation 1.1 root hub Bus 004 Device 001: ID 1d6b:0001 Linux Foundation 1.1 root hub Bus 005 Device 001: ID 1d6b:0001 Linux Foundation 1.1 root hub Bus 006 Device 001: ID 1d6b:0001 Linux Foundation 1.1 root hub Bus 007 Device 001: ID 1d6b:0001 Linux Foundation 1.1 root hub Bus 008 Device 001: ID 1d6b:0001 Linux Foundation 1.1 root hub Bus 005 Device 002: ID 413c:3012 Dell Computer Corp. Optical Wheel Mouse Bus 005 Device 003: ID 413c:2106 Dell Computer Corp. Dell QuietKey Keyboard Bus 007 Device 004: ID 09fb:6001 Altera -> here 

 

but when i do jtagconfig 

 

No JTAG hardware available  

 

any ideia??? 

 

someone can help me ??? 

 

thanks  

 

Franz Wagner
0 Kudos
Altera_Forum
Honored Contributor II
2,490 Views

ok i solve the problem 

 

 

it is just permissions problem.......to solve do it 

 

sudo chmod 765 /sys/kernel/debug 

 

and it works!!!
0 Kudos
Altera_Forum
Honored Contributor II
2,490 Views

Franz&#65292;in your post, you said you did: 

 

2 add on /etc/udev/rules.d/51-usbblaster.rules 

SUBSYSTEM=="usb", ENV{DEVTYPE}=="usb_device", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6001", MODE="0666", NAME="bus/usb/$env{BUSNUM}/$env{DEVNUM}", RUN+="/bin/chmod 0666 %c" 

 

I want to know, if the version is quartus 8.0, should I change anything of the above content?
0 Kudos
Altera_Forum
Honored Contributor II
2,490 Views

hi!! good morning 

 

I think for quartus 8 the file is the same, should change only the PATH 

 

cheers 

 

Franz Wagner
0 Kudos
Altera_Forum
Honored Contributor II
2,490 Views

Hi, Franz 

 

Thank's for your reply. 

 

I just succeeded install quartus II on Ubuntu11.10. But there are still some problems. 

 

When I compile the hello_word project, I had the message: 

 

 

**** Build of configuration Debug for project hello_world_0 **** 

 

make -s all includes  

Error: no processor component available. 

SOPC_BUILDER_PATH may be incorrectly set in your environment. 

 

make[1]: *** [proc_check] Error 1 

make: *** [system_project] Error 2 

Build completed in 11.841 seconds
0 Kudos
Altera_Forum
Honored Contributor II
2,490 Views

hi, good afternoon 

 

 

yes ......actually i think did it works............which op system d u use? 

 

 

cheers 

 

Franz Wagner
0 Kudos
Altera_Forum
Honored Contributor II
2,490 Views

My problem solved. 

 

Code: 

cd /opt/altera9.1/quartus/linux/ 

sudo mv libX11.so.6 libX11.so.6_old 

sudo mv libuuid.so.1 libuuid.so.1_old
0 Kudos
Altera_Forum
Honored Contributor II
2,490 Views

 

--- Quote Start ---  

hi, good afternoon 

 

 

yes ......actually i think did it works............which op system d u use? 

 

 

cheers 

 

Franz Wagner 

--- Quote End ---  

 

 

 

It did works. My system is Ubuntu 11.10.
0 Kudos
Altera_Forum
Honored Contributor II
2,490 Views

ok ....  

 

Hi, Franz Thank's for your reply. I just succeeded install quartus II on Ubuntu11.10. But there are still some problems. When I compile the hello_word project, I had the message: **** Build of configuration Debug for project hello_world_0 **** make -s all includes Error: no processor component available. SOPC_BUILDER_PATH may be incorrectly set in your environment. make: *** Error 1 make: *** Error 2 Build completed in 11.841 seconds  

 

 

this happens because you dont have a nios2 processor in your design...... 

 

you need to add a processor, ram memory, jtag uart in sopc builder 

 

or  

 

open a nios2 example for your board 

 

cheers 

 

Franz Wagner
0 Kudos
Altera_Forum
Honored Contributor II
2,490 Views

 

--- Quote Start ---  

hello all!!! 

 

 

someone can make usbblaster run on ubuntu 11.10???? 

 

i did 

 

1 add on /etc/rc.local 

mount --bind /dev/bus /proc/bus ln -s /sys/kernel/debug/usb/devices /proc/bus/usb/devices 

 

2 add on /etc/udev/rules.d/51-usbblaster.rules 

SUBSYSTEM=="usb", ENV{DEVTYPE}=="usb_device", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6001", MODE="0666", NAME="bus/usb/$env{BUSNUM}/$env{DEVNUM}", RUN+="/bin/chmod 0666 %c" 

 

and when i did lsusb i see 

 

Bus 001 Device 001: ID 1d6b:0002 Linux Foundation 2.0 root hub Bus 002 Device 001: ID 1d6b:0002 Linux Foundation 2.0 root hub Bus 003 Device 001: ID 1d6b:0001 Linux Foundation 1.1 root hub Bus 004 Device 001: ID 1d6b:0001 Linux Foundation 1.1 root hub Bus 005 Device 001: ID 1d6b:0001 Linux Foundation 1.1 root hub Bus 006 Device 001: ID 1d6b:0001 Linux Foundation 1.1 root hub Bus 007 Device 001: ID 1d6b:0001 Linux Foundation 1.1 root hub Bus 008 Device 001: ID 1d6b:0001 Linux Foundation 1.1 root hub Bus 005 Device 002: ID 413c:3012 Dell Computer Corp. Optical Wheel Mouse Bus 005 Device 003: ID 413c:2106 Dell Computer Corp. Dell QuietKey Keyboard Bus 007 Device 004: ID 09fb:6001 Altera -> here 

 

--- Quote End ---  

 

 

 

This is explain alot on google and also on  

http://www.altera.com/download/drivers/dri-usb_b-lnx.html 

They should add a INSTALL or README file that explain that it will help ;) 

 

 

--- Quote Start ---  

but when i do jtagconfig 

 

No JTAG hardware available  

 

any ideia??? 

 

someone can help me ??? 

 

thanks  

 

Franz Wagner 

--- Quote End ---  

 

 

Still having this issue: 

When I open the programmer I have this error on my console : 

/home/kapare/altera/11.1sp1/quartus/linux64/jtagd: error while loading shared libraries: libaxerces-c.so.26: wrong ELF class: ELFCLASS32 

 

So this post solve my problem 

 

http://www.alteraforum.com/forum/showthread.php?t=33163 

 

sudo apt-get install libxerces-c28 

sudo cp /usr/lib/libxerces-c.so.28.0 linux64/libaxerces-c.so.26  

sudo cp /usr/lib/libicudata.so.44 linux64/libicudata.so.34 

sudo cp /usr/lib/libicuuc.so.44.2 linux64/libicuuc.so.33 

 

sudo apt-get install lib32icu44 

sudo cp /usr/lib/libicudata.so.44 ../linux64/libicudata.so.34 

sudo cp /usr/lib/libicuuc.so.44 ../linux64/libicuuc.so.34 

 

Then finally I was able to select USB-Blaster [1-1] 

 

But since I'm on a virtual machine on Mac the result of flashing is stil FAILED :( 

 

I heard that it was possible from a VM with windows??? will try it...
0 Kudos
Altera_Forum
Honored Contributor II
2,490 Views

 

--- Quote Start ---  

 

But since I'm on a virtual machine on Mac the result of flashing is stil FAILED :( 

 

I heard that it was possible from a VM with windows??? will try it... 

--- Quote End ---  

 

 

I have VirtualBox running under Linux (Centos 6.2) and Windows XP hosts, and they allow the VM to capture the USB-Blaster interface (I've used Ubuntu, Centos, and Windows XP clients in the VM). 

 

Windows 7 will not.  

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
2,490 Views

 

--- Quote Start ---  

I have VirtualBox running under Linux (Centos 6.2) and Windows XP hosts, and they allow the VM to capture the USB-Blaster interface (I've used Ubuntu, Centos, and Windows XP clients in the VM). 

 

Windows 7 will not.  

 

Cheers, 

Dave 

--- Quote End ---  

 

 

Thx Dave, 

 

I have Mac OS 10.7 with VMWare Version 4.1.1 (536016) running Windows 7 64bits with Quartus sp2. 

 

I'm able to flash from my Windows 7 Machine :)) 

NOTE: I only check Program/Configure in the programmer otherwise if I check Verify it fail. 

 

So wait let me try on Ubuntu without the Verify option!!! 

RESULT: IT'S WORKING :p  

 

So my problem was the verify option removing this solve my problem. 

NOTE: I did test all the options only the Verify + Program/Configure. 

 

Kevyn-Alexandre
0 Kudos
Altera_Forum
Honored Contributor II
2,490 Views

Hi Franz, 

 

I got exact problem you met. However, even I try your solution, but I still can not make usb-blaster working on Ubuntu 12.04 64bit. 

Do you have any idea what is going on? 

 

 

--- Quote Start ---  

hello all!!! 

 

 

someone can make usbblaster run on ubuntu 11.10???? 

 

i did 

 

1 add on /etc/rc.local 

mount --bind /dev/bus /proc/bus ln -s /sys/kernel/debug/usb/devices /proc/bus/usb/devices 

 

2 add on /etc/udev/rules.d/51-usbblaster.rules 

SUBSYSTEM=="usb", ENV{DEVTYPE}=="usb_device", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6001", MODE="0666", NAME="bus/usb/$env{BUSNUM}/$env{DEVNUM}", RUN+="/bin/chmod 0666 %c" 

 

and when i did lsusb i see 

 

Bus 001 Device 001: ID 1d6b:0002 Linux Foundation 2.0 root hub Bus 002 Device 001: ID 1d6b:0002 Linux Foundation 2.0 root hub Bus 003 Device 001: ID 1d6b:0001 Linux Foundation 1.1 root hub Bus 004 Device 001: ID 1d6b:0001 Linux Foundation 1.1 root hub Bus 005 Device 001: ID 1d6b:0001 Linux Foundation 1.1 root hub Bus 006 Device 001: ID 1d6b:0001 Linux Foundation 1.1 root hub Bus 007 Device 001: ID 1d6b:0001 Linux Foundation 1.1 root hub Bus 008 Device 001: ID 1d6b:0001 Linux Foundation 1.1 root hub Bus 005 Device 002: ID 413c:3012 Dell Computer Corp. Optical Wheel Mouse Bus 005 Device 003: ID 413c:2106 Dell Computer Corp. Dell QuietKey Keyboard Bus 007 Device 004: ID 09fb:6001 Altera -> here 

 

but when i do jtagconfig 

 

No JTAG hardware available  

 

any ideia??? 

 

someone can help me ??? 

 

thanks  

 

Franz Wagner 

--- Quote End ---  

0 Kudos
Altera_Forum
Honored Contributor II
2,490 Views

Attached is my UDEV rules for Ubuntu 12.04. I know I had to modify them due to a change in UDEV system from 10.04 to 12.04, I've also added more variations, due to different dev kit versions of the USB blaster. 

 

Let me know if these work for you. The number in the name: 53 vs 51 is just an ordering item, you can rename it as you wish. Remove the .txt extension. and copy into /etc/udev/rules.d 

 

Let me know if this helps. 

 

Pete
0 Kudos
Altera_Forum
Honored Contributor II
2,490 Views

Here what I do since 12.04. Usb-blaster is not always working properly in a VM! 

1- sudo apt-get install ia32-libs-multiarch 

2- tar xzvf 12.0sp1_232_modelsim_ase_linux.tar.gz 

3- sudo bash ./setup 

 

I don't recall if I needed to start quartus as root (sudo) to have access USB-Blaster but I don't think so. We only use quartus for compilation on our build server and program it with Windows or our own devices. 

 

Hope it can help, 

 

-KA
0 Kudos
Altera_Forum
Honored Contributor II
2,406 Views

Also did you try to run this comment to list the hardware? 

./quartus_jli -n
0 Kudos
Reply