Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

How to use carry_sum?

User1587485050602131
531 Views

Hi all,

 

I am trying to use carry_sum to generate carry chains for quite some time now, mostly without luck (MAX 10). I think I might have fundamentally misunderstood how to use it. If I instanciate several entities of carry_sum and create signals that are the 'cout' output of an entity and the 'cin' of the following one, Quartus just ignores them. Can someone give me a short example on how to use it?

 

Best regards,

Max

0 Kudos
1 Reply
SyafieqS
Moderator
471 Views

Hi,

The coutport of the primitive acts as the carry-in for the next element of the carry chain. To use carry_sum primitive, there are few rules you need to consider before using it refer to link below.

 

https://www.intel.com/content/www/us/en/programmable/quartushelp/13.0/mergedProjects/hdl/prim/prim_file_carry_sum.htm

 

1,  Verilog HDL example of a CARRY_SUM primitive instantiation.

carry_sum <instance_name> (.sin(<input_wire1>), .cin(<input_wire2>),

.sout(<output_wire1>), .cout(<output_wire2>));

 

Thanks,

Regards

 

 

0 Kudos
Reply