Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16609 Discussions

Import VHDL package in (System)Verilog design

VolCoM
Beginner
3,330 Views

Hello,

Here is my problem :

 

I have a package which is written in VHDL.

I would like to import it in my SystemVerilog design.

 

In Modelsim, I would simply compile the VHDL file with a special flag so it's compatible

=> vcom -mixedsvvh package.vhd

and then import it in my SystemVerilog file with

=> import package::*;

And everything works fine during the simulation.

 

In Quartus, I can't find any way to make the VHDL package compatible with my SV design.

When I try to compile in Quartus, I get the following error :

 

Error (10161): Verilog HDL error at SystemVerilogDesign.sv(4): object "package" is not declared. Verify the object name is correct. If the name is correct, declare the object.

 

  • My SV design is properly configured as a SystemVerilog file.

 

  • The name of my VHDL package is in lower case so it should not be a case problem.

 

It is exactly the same problem as described in this link from 3 years ago :

https://forums.intel.com/s/question/0D50P00003yyS9gSAE/error-10161-when-importing-vhdl-packages-to-system-verilog?language=en_US

 

Thank you for any information that could help !

 

 

0 Kudos
1 Reply
Prashant_Sharma
Beginner
1,720 Views

Hi there, did you find any solution ?

0 Kudos
Reply