Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16732 Discussions

Internal Error: Sub-system: ATM_BUILD

pdewanga
Employee
116 Views

 

Facing Internal error with the following build version - 

Version 24.1.0 Build 115 03/21/2024 SC Pro Edition

Any clues ?

 

Internal Error: Sub-system: ATM_BUILD, File: /quartus/synth/atm_build/atm_build_latches.cpp, Line: 596
found_clear || found_preset || found_enable || found_enableenable
Stack Trace:
Quartus 0x941a2: ftm_find_latch_ports(CDB_ATOM_OTERM*, DB_INPUT_PORT_TYPE*, DB_INPUT_PORT_TYPE*, DB_INPUT_PORT_TYPE*, DB_INPUT_PORT_TYPE*, DB_INPUT_PORT_TYPE*, bool*, bool*, bool, bool) + 0x4d2 (synth_atm_build)
Quartus 0x6e133: FTM_GENERIC_ATOM_BUILDER::set_latch_info() + 0x2b3 (synth_atm_build)
Quartus 0x77834: FTM_GENERIC_ATOM_BUILDER::build_atom_network_v() + 0x554 (synth_atm_build)
Quartus 0x2fd02: FTM_ATOM_BUILDER::build_atom_network(CDB_SGATE_NETLIST*, SCL_WYSIWYG_ATOM_FACTORY*) + 0x12 (synth_atm_build)
Quartus 0x8f22c: FTM_ROOT_IMPL::build_atoms() + 0x42c (synth_ftm)
Quartus 0x8f6d2: FTM_ROOT_IMPL::start_atom_builder() + 0x52 (synth_ftm)
Quartus 0x8f8df: FTM_ROOT::start_atom_builder(CDB_SGATE_NETLIST*, SCL_WYSIWYG_ATOM_FACTORY*) + 0x8f (synth_ftm)
Quartus 0x8af16: SCL_SYN_HIER::do_atom_build(CDB_SGATE_NETLIST*) + 0x16c (synth_scl)
Quartus 0x36aef: scl_run_ftm_atom_build(CMP_FACADE*, SAM_FACADE*, CDB_SGATE_HIERARCHY*, CDB_SGATE_NETLIST*) + 0x72 (synth_scl)
Quartus 0x2d267e: SYNTH::QIS::SYNTHESIS_FLOW::ftm_atom_building_cdb() + 0x42 (synth_qis)
Quartus 0x2d3847: SYNTH::QIS::SYNTHESIS_FLOW::ftm_atom_building() + 0x1f (synth_qis)
Quartus 0x2e0822: SYNTH::QIS::SYNTHESIS_FLOW::run_current_phase() + 0x332 (synth_qis)
Quartus 0x2e0e94: SYNTH::QIS::SYNTHESIS_FLOW::run_full_flow(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, bool, bool, QIS_RTL_STAGE::FLOW) + 0x468 (synth_qis)
Quartus 0x1ac604: QIS_RTL_STAGE::IMPL::synthesize(QHD_PARTITION&, bool, bool, QIS_RTL_STAGE::FLOW) + 0x508 (synth_qis)
Quartus 0x1ac914: QIS_RTL_STAGE::synthesize(QHD_PARTITION&, bool, bool, QIS_RTL_STAGE::FLOW) + 0x12 (synth_qis)
Quartus 0xc8a59: qis_synthesize + 0x302 (synth_qis)
Quartus 0x4bb47: TclNRRunCallbacks + 0x67 (tcl8.6)
Quartus 0x4cf29: TclEvalEx + 0x599 (tcl8.6)
Quartus 0xf40fe: Tcl_FSEvalFileEx + 0x21e (tcl8.6)
Quartus 0xf4246: Tcl_EvalFile + 0x26 (tcl8.6)
Quartus 0x2708e: qexe_evaluate_tcl_script(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&) + 0x388 (comp_qexe)
Quartus 0x29dec: qexe_do_tcl(QEXE_FRAMEWORK*, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::list<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::allocator<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > > const&, bool, bool) + 0x71b (comp_qexe)
Quartus 0x2afa5: qexe_run_tcl_option(QEXE_FRAMEWORK*, char const*, std::__cxx11::list<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::allocator<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > >*, bool) + 0x8a5 (comp_qexe)
Quartus 0x77f9d: QCU::DETAIL::intialise_qhd_and_run_qexe(QCU_FRAMEWORK&, FIO_PATH const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, char const*, std::__cxx11::list<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::allocator<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > >*, bool) + 0x99 (comp_qcu)
Quartus 0x78290: qcu_run_tcl_option(QCU_FRAMEWORK*, char const*, std::__cxx11::list<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::allocator<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > >*, bool) + 0x286 (comp_qcu)
Quartus 0x40730e: qsyn2_tcl_process_default_flow_option(ACF_VARIABLE_TYPE_ENUM, char const*) + 0x4b5 (quartus_syn)
Quartus 0x2fb9a: qexe_standard_main(QEXE_FRAMEWORK*, QEXE_OPTION_DEFINITION const**, int, char const**) + 0x7dd (comp_qexe)
Quartus 0x406d4c: qsyn2_main(int, char const**) + 0x123 (quartus_syn)
Quartus 0x43f80: msg_main_thread(void*) + 0x10 (ccl_msg)
Quartus 0x44a00: msg_thread_wrapper(void* (*)(void*), void*) + 0x8c (ccl_msg)
Quartus 0x1fcfd: mem_thread_wrapper(void* (*)(void*), void*) + 0x9d (ccl_mem)
Quartus 0xe168: err_thread_wrapper(void* (*)(void*), void*) + 0x1e (ccl_err)
Quartus 0x44929: msg_exe_main(int, char const**, int (*)(int, char const**)) + 0xd3 (ccl_msg)
Quartus 0x406c22: main + 0x26 (quartus_syn)
System 0x23ac5: __libc_start_main + 0xf5 (c)
Quartus 0x406b49: _start + 0x29 (quartus_syn)

End-trace

Labels (1)
0 Kudos
1 Reply
RichardTanSY_Intel
5 Views

I could not find this internal error in our database. Could you help to share your design .qar file (Project> Achieve Project) that could duplicate this error?

Please try to run the design in the latest Quartus Pro version 24.2 to check if the error has been fixed.


We will need to duplicate the error as without the error duplication from our side, it would be hard to find a workaround/solution.


This will requires the engineering team to investigate on this and please keep in mind that any work involving our engineering team may take some time, ranging from a few days to a few weeks, depending on the complexity of the issue.


Best Regards,

Richard Tan


0 Kudos
Reply