Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16603 Discussions

Is there a way to dump timing reports to a file?

Altera_Forum
Honored Contributor II
2,496 Views

In TimeQuest you can generate all kinds to timing reports. Is there a way to dump the reports into a file so that they are viewable later without having to fire up TimeQuest? 

 

I was expecting to see a "generate report" or "write to file" option somewhere.
0 Kudos
6 Replies
Altera_Forum
Honored Contributor II
1,275 Views

report_timing is the main command, and it has a -file option, where you just give it a name. You can also add -append, so it doesn't overwrite the file. And if you specify the output as having an .htm extension, it will write out an html file, i.e. -file "TQ_report.htm" Otherwise it's just a text file. The -file option is also accessible from the report_timing GUI, and I think most commands have a -file option.

0 Kudos
Altera_Forum
Honored Contributor II
1,275 Views

Hi There,.. 

I think you can generate it easily from Quartus Compilation Report. 

Just collapse TimeQuest Timing Analyzer selection, and right click on desired report and select "Save Current Report Section As Menu". You can save it in *.txt format or *.csv format. 

 

Hope it can help you...
0 Kudos
Altera_Forum
Honored Contributor II
1,275 Views

Actually I would like to create timing reports from the *.sdc file since I use a perl file to do my build. The perl file basically creates a time stamp used in a version register then calls "quartus_sh" which does the build. 

 

There are a bunch of "tcl" commands such as "report_timing" but they can not be used in an sdc file. They need to be called from the GUI as tcl scripts. I just want to automate the process and having *.sdc calls to create timing reports seems like it would be the easiest.  

 

So are there equivalent *.sdc commands to generate timing reports? 

If not, what is the best way to automate the generation of timing reports.
0 Kudos
Altera_Forum
Honored Contributor II
1,275 Views

Your .sdc file should only have constraints and not any timing analysis commands like report_timing. (Note that the .sdc files are used through out the compile, many places which don't make sense to use timing calls. Quartus used to error out on these. I don't think it does any more, but the recommendation is to separate them into a .tcl file). 

You can: 

a) Add a .tcl file to run as part of the compile. This can be added under Assignments -> Settings -> TimeQuest. Of course it's a .qsf setting, which is what you'll want to add, I just don't know if off hand. 

b) If you're running quartus_sh to do your compile, you can always call quartus_sta separately, and have it run a special script.
0 Kudos
Altera_Forum
Honored Contributor II
1,275 Views

It makes sense to separate the timing constraints in the sdc from the report generation in a .tcl file. 

 

I will try your suggestion to add the .tcl file to the .qsf file:  

set_global_assignment -name TIMEQUEST_REPORT_SCRIPT timing_reports.tcl 

 

I will let you know how it works after my next build. Thanks.
0 Kudos
Altera_Forum
Honored Contributor II
1,275 Views

Problem solved. Adding the .tcl file to .qsf solved the problem. This solution works for me. 

 

Thanks for the help!
0 Kudos
Reply