Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

Modelsim DE 10.2 Top-level Code Coverage Strategy

Altera_Forum
Honored Contributor II
1,371 Views

Hi, I am wondering which strategy would be the best for code coverage analysis of a full design. 

 

Let's say I have a design with 10+ sub-modules; I have testbenches that focus on testing each individual sub-module. For simplification, we assume there is only 1 testbench associated with a sub-module. I am looking for a solution that would prevent having to run all of the testbenches if a sub-module is modified. 

 

I thought of something like as shown below. For each testbench associated with a particular sub-module instance, I save the code coverage statistics for only this particular instance. I'm not 100 sure it would work, but if so, if I modify a sub-module, I could just run again the testbench associated with this particular instance; I would not need to run again the others. 

 

foreach arch $arch_list { # Compile the test architecture vcom -work work -2008 # Restart and Run the simulation restart -f run -all # save code coverage statistics coverage save -code bcefs -instance sim:/testbench/<associated instance>_inst } vcover merge coverage open coverage report -byinstance -file -detail -code {s b c e f } -noexcludedhits  

 

Any idea if this could work? Or do you have a better strategy? 

 

Thank you for your time 

 

Damien
0 Kudos
0 Replies
Reply