Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16700 Discussions

Modelsim error "Fatal error in Process MEMORY at c:/intelfpga/21.1/quartus/eda/sim_lib/altera_mf.vhd

t_alars
Novice
696 Views

Hello,

I am facing an error in Modelsim that I am not able to track it at all.

The error is 

t_alars_0-1706272433864.png

It is really confused me. I have the file "cpci_header.hex" and I have putted it in the all possible paths but still the same error. I don't know where should I put it. 

Also, where is this path

t_alars_1-1706272962560.png

Am I missing something? I tried to find a clear details about the error or where is my mistake but nothing useful.

 

I hope someone can answer me.

 

 

 

 

 

 

Labels (1)
0 Kudos
4 Replies
SyafieqS
Employee
628 Views

Have you tried to delete the db folder recompile and rerun simulation?

Make sure the hex file is at the right path.


0 Kudos
t_alars
Novice
620 Views

Hello @SyafieqS 

 

This is the issue, it is no saying where is this path so, I can copy the .hex file to it.

t_alars_0-1706768921268.png

As in the photo, no specific path mentioned in my PC.

 

0 Kudos
SyafieqS
Employee
578 Views

Hi Taha,


Do you mind to post the error message it here? log or txt file containing the error message?

For further analysis


0 Kudos
SyafieqS
Employee
535 Views

As we do not receive any response from you on the previous question/reply/answer that we have provided. Please login to https://supporttickets.intel.com/, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


p/s: If any answer from community or Intel support are helpful, please feel free to mark as solution, give Kudos and rate 5/5 survey


0 Kudos
Reply