Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

Modelsim hanging trying to compile Stratix IP

Altera_Forum
Honored Contributor II
2,684 Views

Hi folks, I'm in the process of migrating a design from Quartus 16.1 targeting an Arria 10 to Quartus 18.0 targeting a Stratix 10. Trying to port one of the testbenches that uses the hard floating point IP, modelsim is hanging when doing the following: 

# vlog -reportprogress 300 -sv c:/intelfpga_pro/18.0/quartus/eda/sim_lib/mentor/fourteennm_atoms_ncrypt.sv -work fourteennm_ver 

 

This appears to be from about three quarters of the way through the "dev_com" step from the generated msim_setup.tcl file. Anyone have any ideas what might be causing it and/or what I need to kick to get it to compile? 

 

Thanks, 

Andy
0 Kudos
7 Replies
Altera_Forum
Honored Contributor II
577 Views

Update: it's nothing to do with the over-all IP compilation process, the bug is only with compiling fourteennm_atoms_ncrypt.sv. The same hanging behavior occurs when only trying to compile this one file.

0 Kudos
Altera_Forum
Honored Contributor II
577 Views

Hi, 

 

If your project is compiled and generated using Quartus 16.1 than you may face such issue when trying to simulate using other versions of ModelSim. 

Means we have to use the appropriate version of ModelSim w.r.t Quartus version. 

Hope you are compiling you design and using ModelSim 10.6c with Quartus 18. 

 

Can you share the project files? 

 

Let me know if this has helped resolve the issue you are facing or if you need any further assistance. 

 

Best Regards, 

Anand Raj Shankar 

(This message was posted on behalf of Intel Corporation)
0 Kudos
Altera_Forum
Honored Contributor II
577 Views

There's not any relevant project files to share. Just opening modelsim (10.6c) and entering "vlog -reportprogress 300 -sv c:/intelfpga_pro/18.0/quartus/eda/sim_lib/mentor/fourteennm_atoms_ncrypt.sv -work fourteennm_ver" causes modelsim to get stuck and not print anything more (although it doesn't seem to actually crash...)

0 Kudos
Altera_Forum
Honored Contributor II
577 Views

Further update - the problem actually seems to exist for all of the files with "ncrypt" in the name that the dev_com function wants to try and compile. Also, I just realized I never said but this is running on Windows 10, just in case that makes the slightest difference... 

 

The other problem files are: 

fourteennm_atoms_ncrypt.sv 

ct1_hssi_atoms_ncrypt.sv 

ct1_hip_atoms_ncrypt.sv
0 Kudos
Altera_Forum
Honored Contributor II
577 Views

Further update - just tried updating to the 18.0.1 patch and still having the same issue... 

 

Thanks, 

Andy
0 Kudos
Altera_Forum
Honored Contributor II
577 Views

I've now resolved this issue with the help of Altera tech support. If anyone else hits this same problem, there is a bug in the generated msim_setup.tcl file (which should get fixed with a patch at some point...) when using the free Modelsim version. To fix the problem, change the line: 

 

return [string match "*ModelSim*Intel FPGA Edition*" [ vsimVersionString ]] 

 

to: 

 

return [string match "*ModelSim*INTEL*FPGA*EDITION*" [ vsimVersionString ]] 

 

This is around line 170 in the file. 

 

Hopefully that'll save someone else some pain! 

 

Andy
0 Kudos
MuhammadAr_U_Intel
577 Views

This issue is tracked under knowledge base article.

 

https://www.intel.com/content/altera-www/global/en_us/index/support/support-resources/knowledge-base/tools/2018/why-does-modelsim--intel--fpga-starter-edition-re-compiles-devic.html

 

"This problem is fixed beginning with the Intel Quartus Prime Pro Edition software version 18.1"

0 Kudos
Reply