Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16624 Discussions

Number of clock cycle consumed by division function offred by Quartus II ?

Altera_Forum
Honored Contributor II
1,984 Views

Hello everyone, how many number of clock cycle will be consumed by division function offred by Quartus II to produce the result ? My input data width are 16 bit.

0 Kudos
11 Replies
Altera_Forum
Honored Contributor II
765 Views

I don't know, but you could create it an measure. either in simulation or in implementation.

0 Kudos
Altera_Forum
Honored Contributor II
765 Views

actually i dont have quartus software. I have xilinx ISE Design Suite. So i want to know about this before switching to Quartus II

0 Kudos
Altera_Forum
Honored Contributor II
765 Views

Are you using a Xilinx Chip? or are you moving to altera chips? 

Either way - you can just generate a divider core with a pipeline that should be configurable. You could set the number of clocks to 1 if you like. But usually, with 1 clock pipeline, the fmax would be so slow that you'll actually be able to compute values quicker with larger pipeline length.
0 Kudos
Altera_Forum
Honored Contributor II
765 Views

You can also simulate your design in Modelsim Altera Starter edition which is free to check on the clock cycle.

0 Kudos
Altera_Forum
Honored Contributor II
765 Views

if you have 16 bit width number and do division we assume you use the most fast division in most cases it is shift operation only. 

After shifting you can correct your result according to remainder.
0 Kudos
Altera_Forum
Honored Contributor II
765 Views

but for 16 bit its taking 16 clock cycle

0 Kudos
Altera_Forum
Honored Contributor II
765 Views

that number of clocks it takes should be a parameter of the divider core when you generated the core.

0 Kudos
Altera_Forum
Honored Contributor II
765 Views

is there any other way for division operation in minimum number of cycle?

0 Kudos
Altera_Forum
Honored Contributor II
765 Views

Yes- generate a new divider core.

0 Kudos
Altera_Forum
Honored Contributor II
765 Views

Sir, can you explain it please?

0 Kudos
Altera_Forum
Honored Contributor II
765 Views

Go to the IP catalogue 

Find the divider 

Generate the core from the gui - one of the options is the latency./
0 Kudos
Reply