Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

OpenCL hello world error

FCive
New Contributor I
1,432 Views

Dear Community,

 

I am trying to compile the hello_world.cl program for the Arrow Sockit based on an Intel Cyclone V SoC. I follow this guide (https://rocketboards.org/foswiki/pub/Documentation/ArrowSoCKitOpenCL/SoCkit_OpenCL_Setup-v14.0--2014-11-25.pdf) and I am able to create the .aoco, but when I launch the following command:

aoc -v -board=arrow_c5sockit_sharedmem -report hello_world.cl

I got this output:

 

aoc: First stage compilation completed successfully.

Compiling for FPGA. This process may take a long time, please be patient.

Error: Error in adjust_plls.tcl!

Error: Flow compile (for project /home/netfpga/Downloads/examples/HelloWorld/hello_world/device/hello_world/top) was not successful

Error: ERROR: Error(s) found while running an executable. See report file(s) for error message(s). Message log indicates which executable was run last.

Error (23031): Evaluation of Tcl script /home/netfpga/intelFPGA/17.1/quartus/common/tcl/internal/qsh_flow.tcl unsuccessful

Error: Quartus Prime Shell was unsuccessful. 4 errors, 668 warnings

Error: Compiler Error, not able to generate hardware

 

The complete log file is attached (quartus_sh_compile.log).

 

I am using OpenCL SDK 17.1 and Quartus 17.1. The bsp for the Sockit was done with 14.0 version.

Do I have to use 14.0 version for both OpenCL SDK and Quartus? Could you please give me some suggestions?

 

Thank you.

 

0 Kudos
3 Replies
HRZ
Valued Contributor III
451 Views

Yes, you likely have to use Quartus and OpenCL SDK v14.0/v15.0 or obtain a newer BSP for your board.

0 Kudos
FCive
New Contributor I
451 Views

Thank you for your answer. I will try to find a newer bsp or move the bsp v14.0 to v17.1. Otherwise I will install v14.0 for both OpenCL SDK and Quartus

 

0 Kudos
FCive
New Contributor I
451 Views

Unfortunately, the error persists even with Quartus and OpenCL SDK v14.0.

Any other suggestions?

 

Thank you

0 Kudos
Reply