Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

OpenCL on FPGA Not able to generate hardware for matrix_mult.cl

Altera_Forum
Honored Contributor II
3,623 Views

Hello everyone, 

 

I am trying to compile a very basic matrx_mult kernel (also same error occurs for hello_world) for a nallatech 385a board. However, always I am getting the following error: 

 

aoc -v -board=p385a_sch_ax115 matrix_mult.cl  

 

 

aoc: Environment checks are completed successfully. aoc: If necessary for the compile, your BAK files will be cached here: /var/tmp/aocl/ You are now compiling the full flow!! aoc: Selected target board p385a_sch_ax115 aoc: Running OpenCL parser.... aoc: OpenCL parser completed successfully. aoc: Optimizing and doing static analysis of code... aoc: Linking with IP library ... Checking if memory usage is larger than 100% Compiler Warning: Vectorized kernel contains loads/stores that cannot be vectorized. This might reduce performance. aoc: First stage compilation completed successfully. Compiling for FPGA. This process may take a long time, please be patient. Error (23031): Evaluation of Tcl script import_compile.tcl unsuccessful Error: Quartus Prime Compiler Database Interface was unsuccessful. 1 error, 0 warnings Error: Compiler Error, not able to generate hardware  

 

 

This is the output of the quartus_sh_compile.log

 

nternal Error: Sub-system: DCALC, File: /quartus/ddb/dcalc/dcalc_bcm_modules_cache.cpp, Line: 110 Could not load pdb file - /home/opt/intelFPGA_pro/17.1/quartus/common/devinfo/20nm/ddb_nightfury_cc_dcm_h-ss-1p25-100-hs-n_model  

 

aoc verion: 

 

aoc -version Intel(R) FPGA SDK for OpenCL(TM), 64-Bit Offline Compiler Version 17.1.0 Build 240 Copyright (C) 2017 Intel Corporation  

 

Quartus Prime Pro version: 

Quartus Prime Analysis & Synthesis Version 17.1.0 Build 240 10/25/2017 SJ Pro Edition Copyright (C) 2017 Intel Corporation. All rights reserved. Quartus Prime Compiler Database Interface Version 17.1.0 Build 240 10/25/2017 SJ Pro Edition Copyright (C) 2017 Intel Corporation. All rights reserved.  

 

aocl version: 

aocl 17.1.0.240 (Intel(R) FPGA SDK for OpenCL(TM), Version 17.1.0 Build 240, Copyright (C) 2017 Intel Corporation)  

 

Also, I got a licence for the nallatech BSP kai the Quartus Prime Pro, both loaded on my system. I used the LM_LICENSE_FILE variable and trough the Quartus Prime pro to load the .dat licence file. 

 

If you have any suggestions regarding this issue, it would be much appreciated. 

 

Thank you in advance.
0 Kudos
21 Replies
Altera_Forum
Honored Contributor II
265 Views

 

--- Quote Start ---  

Fair enough. How do you checkout your floating license without a connection? 

--- Quote End ---  

 

 

I dont now how. I checked again my license and its floating, its shouldn't work offline.
0 Kudos
Reply