Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

PCIe HARD IP +

AGaru1
Beginner
973 Views

Hi,

i'm trying to run a synthesis of my Design for Stratix 10 on Quartus Pro 19.4.

In my TOP ENTITY i added the PCIe HARD IP + and connected it to my entities.

I've updated the .qsf file with the pin assignments and the .ip file for the pcie. Everything seems fine to me.

I'm encountering this issue though:

 

Error(17670): VHDL error at PCIe_HardIP_Plus.vhd(3023): verilog module port intx_req_i does not match with type std_logic_vector of component port 

Error(13657): VHDL expression error at PCIe_HardIP_Plus.vhd(3023): expression has 4 elements, but must have 9 elements 

Error(16186): Can't elaborate top-level user hierarchy 

 

I do not know what to do. The port intx_req is defined as

 

intx_req_i          : in std_logic_vector(3 downto 0)  

 

in the Quartus-generated .vhd file, and i'm connecting it to the same kind of signal.

It seems a problem within the generation of the IP.

What do you think?

 

0 Kudos
10 Replies
BoonT_Intel
Moderator
936 Views

Hi Sir,

This is an synthesis error, it is not related with the QSF assignment.

From the error message, it seem like you are not connecting the intx_req_i correctly from yuor top level. This port is a 9 bits bus but you define it as 4 bit in your top level.

0 Kudos
AGaru1
Beginner
936 Views

Hi,

thank you for your reply.

The TOP of the PCIe generated by Quartus shows a port with 4 bits : intx_req_i          : in std_logic_vector(3 downto 0) 

 

0 Kudos
BoonT_Intel
Moderator
936 Views

Can you share the IP top level file and your design top level file? Otherwise, please share your design QAR.

0 Kudos
AGaru1
Beginner
936 Views

I'm attaching the IP top and the design TOP. Thank you for the help!

The IP has been generated on Quartus 19.4 using the IP Catalog.

The path of the TOP level of the ip is: <IP NAME>/synth

 

0 Kudos
AGaru1
Beginner
936 Views

The IP TOP was not attached.. trying again.

0 Kudos
BoonT_Intel
Moderator
936 Views

Hi Sir,

I am so sorry, I just found that this is VHDL code which I am not familiar with it. Thus, I will need your design QAR file to use quartus compile and replicate the error to understand the problem.

If you can't give me the full design, then you can simplify it by remove out the other unrelated component and remain the PCIe IP that able to demonstrate the error.

Thanks.

0 Kudos
AGaru1
Beginner
936 Views

Hi,

i'm not sure if i can do that, it's going to be complicated. I am generating the IP in verilog. Maybe we can figure it out this way.

0 Kudos
BoonT_Intel
Moderator
936 Views

Hi Sir,

Yes, maybe you can generate the IP, then set the IP top level file as the design top level, run analysis and synthesis (a&s) see if you still get the same error. If the IP not demonstrate the error, the you can generate the IP example design (ED) and perform a&s. If it pass, then you can compare it to your design top level, if the ED fail, then send the design QAR to me.

0 Kudos
AGaru1
Beginner
936 Views

Hi!

I integrated the Pcie Hard IP.

The VHDL generated code has problems.

I generated the IP TOP in verilog and the synth went well!!

THank you!

0 Kudos
BoonT_Intel
Moderator
933 Views

I’m glad that your question has been addressed, I now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.

0 Kudos
Reply