Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Passing tcl script variables to qsf file

MBisi3
Beginner
1,011 Views

I am looking to execute a tcl script at the beginning of the flow using

 

set_global_assignment -name PRE_FLOW_SCRIPT_FILE "quartus_sh:first.tcl"

 

in this script I create a variable that should be evaluated inside qsf; I would like to be able to write, in qsf, a line such as:

 

set_parameter -name MYVARIABLE = $tclscriptcreatedvariable

 

unfortunately this syntax throw an error while starting compile and also removing "=" the same.

How can I obtain this result without rewriting qsf file from tcl ? Is it possible to have qsf file to include an external file setting some parameters ?

Thank you for your support

Marco Bisio

0 Kudos
9 Replies
KhaiChein_Y_Intel
715 Views

Hi,

 

May I know the software edition and version you are using? Could you share the error message?

 

Thanks.

0 Kudos
MBisi3
Beginner
715 Views

Hello. I tried two operating conditions (tool version is Quartus Prima Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition)

1) set_property in the qsf file

2) set property in the tcl script

 

in the first case the qsf file, the tcl script and the error are in the attached first.zip; in the second case, qsf, tcl script and error are in the attached second.zip; both zip files in errors.zip

How can I solve ?

Thank you

Marco Bisio

 

 

0 Kudos
KhaiChein_Y_Intel
715 Views

Hi,

 

You may add the following command before the set_parameter -name GVAR = $myvariable

 

project_open <project_name>

 

Thanks.

0 Kudos
MBisi3
Beginner
715 Views
Hello YY, I tried the solution you give me and it works. Unfortunately, this way, I am unable to write a generic script working for each project I have. I have also one more issue: the generic map is defined using one generic inside another one. This should be supported in VHDL 2008, and I configured input files this way. Unfortunately quartus II throw an error: Error (10552): VHDL expression error at test.vhd(13): illegal GVERSIONLEN in expression Error (10556): VHDL error at test.vhd(12): generic "GVERSIONLEN" cannot be used in its own interface list Error: Quartus Prime Analysis & Synthesis was unsuccessful. 2 errors, 1 warning Error: Peak virtual memory: 4787 megabytes Error: Processing ended: Wed Oct 02 18:28:22 2019 Error: Elapsed time: 00:00:12 Error: Total CPU time (on all processors): 00:00:23 Error (293001): Quartus Prime Full Compilation was unsuccessful. 4 errors, 1 warning In attach you will find a test project to replicate this issue. Thank you. Marco Bisio Marco Bisio [cid:image007.png@01D57950.559CC660] Via per Vallalta – S.P.7 41033 Concordia sulla Secchia (MO) IT GPS: 44.925679 N, 10.998667 E tel: +39 0535 40818 fax: +39 0535 55044 mob: +39 3456557427 E-mail: mbisio@topcon.com<mailto:mbisio@topcon.com> www.topconinfomobility.com<http://www.topconinfomobility.com/> Le informazioni contenute in questo messaggio e gli eventuali allegati sono riservati e destinati esclusivamente ad uno o più specifici destinatari sopra indicati. I messaggi di posta elettronica non sono sicuri e possono essere soggetti ad alterazioni, trasmettitori di Virus informatici o soggetti a ritardi nella distribuzione. Il mittente del messaggio non può essere in alcun modo considerato responsabile per queste evenienze. Qualora non siate i destinatari del messaggio, Vi chiediamo di non farne uso, né copia, né comunicazione a terzi di quanto in esso contenuto ai sensi dell'art. 616 c.p. e del D.Lgs n. 196/2003. Se questa comunicazione Vi è pervenuta per errore, Vi chiediamo gentilmente di cancellare il presente messaggio. The information contained in this electronic message and any attachment is intended for one or more specific individuals to whom it is addressed. Electronic messages are not secure or error free and can contain viruses or may be delayed, and the sender is not liable for any of these occurrences. If you are not the intended recipient, please notify the sender immediately, delete this message and do not disclose, distribute, or copy it to any third party or otherwise use this message as per art. 616 Criminal Code and Legislative Decree 196/03 of Italian law. If you are not the intended recipient, please remove it from your system. Da: Intel Forums <supportreplies@intel.com> Inviato: martedì 1 ottobre 2019 08:34 A: Marco Bisio <mbisio@topcon.com> Oggetto: YY answered you: Passing tcl script variables to qsf file Hi, You may add the following command before the set_parameter -name GVAR = $myvariable project_open <project_name> Thanks. [Immagine rimossa dal mittente.]<https://urldefense.proofpoint.com/v2/url?u=https-3A__forums.intel.com_0050P000008IfXG-3FfromEmail-3D1-26s1oid-3D00DU0000000YT3c-26s1nid-3D0DB0P000000U1Hq-26s1uid-3D0050P000008WtlB-26s1ext-3D0-26emkind-3DchatterCommentNotification-26emtm-3D1569911668062&d=DwMFaQ&c=-0XTxx5JZxtPyuSXdvX8qQ&r=014XRNpUVBAI_GH1TkTES5u8gLdWVINf-JbJIDX4Clo&m=miOvYygTNgsCdet...> YY<https://urldefense.proofpoint.com/v2/url?u=https-3A__forums.intel.com_0050P000008IfXG-3FfromEmail-3D1-26s1oid-3D00DU0000000YT3c-26s1nid-3D0DB0P000000U1Hq-26s1uid-3D0050P000008WtlB-26s1ext-3D0-26emkind-3DchatterCommentNotification-26emtm-3D1569911668062&d=DwMFaQ&c=-0XTxx5JZxtPyuSXdvX8qQ&r=014XRNpUVBAI_GH1TkTES5u8gLdWVINf-JbJIDX4Clo&m=miOvYygTNgsCdet...> (Intel) Hi, You may add the following command before the set_parameter -name GVAR = $myvariable project_open <project_name> Thanks. [Rettangolo con angoli arrotondati: View/Answer] or reply to this email Replying to [Immagine rimossa dal mittente.]<https://urldefense.proofpoint.com/v2/url?u=https-3A__forums.intel.com_0050P000008WtlB-3FfromEmail-3D1-26s1oid-3D00DU0000000YT3c-26s1nid-3D0DB0P000000U1Hq-26s1uid-3D0050P000008WtlB-26s1ext-3D0-26emkind-3DchatterCommentNotification-26emtm-3D1569911668062&d=DwMFaQ&c=-0XTxx5JZxtPyuSXdvX8qQ&r=014XRNpUVBAI_GH1TkTES5u8gLdWVINf-JbJIDX4Clo&m=miOvYygTNgsCdet...> MBisi3<https://urldefense.proofpoint.com/v2/url?u=https-3A__forums.intel.com_0050P000008WtlB-3FfromEmail-3D1-26s1oid-3D00DU0000000YT3c-26s1nid-3D0DB0P000000U1Hq-26s1uid-3D0050P000008WtlB-26s1ext-3D0-26emkind-3DchatterCommentNotification-26emtm-3D1569911668062&d=DwMFaQ&c=-0XTxx5JZxtPyuSXdvX8qQ&r=014XRNpUVBAI_GH1TkTES5u8gLdWVINf-JbJIDX4Clo&m=miOvYygTNgsCdet...> (Customer) asked a question. Thursday, 26 September 2019 11.11<https://urldefense.proofpoint.com/v2/url?u=https-3A__forums.intel.com_0D50P00004SWuMg-3FfromEmail-3D1-26s1oid-3D00DU0000000YT3c-26s1nid-3D0DB0P000000U1Hq-26s1uid-3D0050P000008WtlB-26s1ext-3D0-26emkind-3DchatterCommentNotification-26emtm-3D1569911668062-26OpenCommentForEdit-3D1&d=DwMFaQ&c=-0XTxx5JZxtPyuSXdvX8qQ&r=014XRNpUVBAI_GH1TkTES5u8gLdWVINf-JbJ...> Passing tcl script variables to qsf file I am looking to execute a tcl script at the beginning of the flow using set_global_assignment -name PRE_FLOW_SCRIPT_FILE "quartus_sh:first.tcl" in this script I create a variable that should be evaluated inside qsf; I would like to be able to write, in qsf, a line such as: set_parameter -name MYVARIABLE = $tclscriptcreatedvariable unfortunately this syntax throw an error while starting compile and also removing "=" the same. How can I obtain this result without rewriting qsf file from tcl ? Is it possible to have qsf file to include an external file setting some parameters ? Thank you for your support Marco Bisio [Immagine rimossa dal mittente.]<https://urldefense.proofpoint.com/v2/url?u=https-3A__forums.intel.com_0050P000008IfXG-3FfromEmail-3D1-26s1oid-3D00DU0000000YT3c-26s1nid-3D0DB0P000000U1Hq-26s1uid-3D0050P000008WtlB-26s1ext-3D0-26emkind-3DchatterCommentNotification-26emtm-3D1569911668062&d=DwMFaQ&c=-0XTxx5JZxtPyuSXdvX8qQ&r=014XRNpUVBAI_GH1TkTES5u8gLdWVINf-JbJIDX4Clo&m=miOvYygTNgsCdet...> YY<https://urldefense.proofpoint.com/v2/url?u=https-3A__forums.intel.com_0050P000008IfXG-3FfromEmail-3D1-26s1oid-3D00DU0000000YT3c-26s1nid-3D0DB0P000000U1Hq-26s1uid-3D0050P000008WtlB-26s1ext-3D0-26emkind-3DchatterCommentNotification-26emtm-3D1569911668062&d=DwMFaQ&c=-0XTxx5JZxtPyuSXdvX8qQ&r=014XRNpUVBAI_GH1TkTES5u8gLdWVINf-JbJIDX4Clo&m=miOvYygTNgsCdet...> (Intel) Hi, You may add the following command before the set_parameter -name GVAR = $myvariable project_open <project_name> Thanks. Tuesday, 1 October 2019 7.34<https://urldefense.proofpoint.com/v2/url?u=https-3A__forums.intel.com_0D70P000006Ul5C-3FfromEmail-3D1-26s1oid-3D00DU0000000YT3c-26s1nid-3D0DB0P000000U1Hq-26s1uid-3D0050P000008WtlB-26s1ext-3D0-26emkind-3DchatterCommentNotification-26emtm-3D1569911668062&d=DwMFaQ&c=-0XTxx5JZxtPyuSXdvX8qQ&r=014XRNpUVBAI_GH1TkTES5u8gLdWVINf-JbJIDX4Clo&m=miOvYygTNgsCdet...> You're receiving emails when someone "Comments on my posts." To change or turn off Forums email, log in<https://urldefense.proofpoint.com/v2/url?u=https-3A__forums.intel.com_-5Fui_core_feeds_notification_ChatterEmailSettings-3Fsetupid-3DCollaborationEmailSettings-26fromEmail-3D1-26s1oid-3D00DU0000000YT3c-26s1nid-3D0DB0P000000U1Hq-26s1uid-3D0050P000008WtlB-26s1ext-3D0-26emkind-3DchatterCommentNotification-26emtm-3D1569911668062&d=DwMFaQ&c=-0XTxx5JZxtP...> as 300001378314@intel.com.isvc. Are notifications about this post getting annoying? Reply to this email with the word " mute ". For Privacy and Legal notices, please visit these links: http://www.intel.com/privacy, https://www.intel.com/content/www/us/en/legal/terms-of-use.html. [Immagine rimossa dal mittente. Forums] Confidentiality Notice: This message (including attachments) is a private communication solely for use of the intended recipient(s). If you are not the intended recipient(s) or believe you received this message in error, notify the sender immediately and then delete this message. Any other use, retention, dissemination or copying is prohibited and may be a violation of law, including the Electronic Communication Privacy Act of 1986. ­­
0 Kudos
KhaiChein_Y_Intel
715 Views

Hi,

 

You may use the following commands to open the project

 

set dirs <project_directory>

catch {cd $dirs}

set project_name [glob *.qpf]

project_open $project_name 

 

For the second question, can you provide the design file for investigation?

 

Thanks.

0 Kudos
MBisi3
Beginner
715 Views

hello YY,

I sent you an example project answering your email. I will load here again, maybe some IT block it.

Thank you.

Marco Bisio

 

0 Kudos
KhaiChein_Y_Intel
715 Views

Hi,

 

Thanks for the example project.

Upon checking, the Intel Quartus Prime Standard and Lite edition software has limited VHDL-2008 language support. Reference: https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/po/ss-quartus-comparison.pdf

I tried to run in the Pro edition software, the error did not occur.

 

Thanks.

0 Kudos
MBisi3
Beginner
715 Views

Thank you very much, as long as the option is available in user interface, I did not guess VHDL-2008 was not completely supported (the comparison chart in the link you provide says no support at all for web edition).

Maybe in future revision it will be supported ?

Thank you very much.

Regards

Marco Bisio

 

0 Kudos
KhaiChein_Y_Intel
715 Views

Hi,

It has limited support only. Kindly consider to migrate to the Pro edition software.

Thanks.

0 Kudos
Reply