Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16612 Discussions

Platform designer/NiosII Eclipse: SRAM controller error

mitsvoid
Novice
984 Views

Dear all,

Good day, I hope you are doing well. I am trying to add an SRAM controller to my project, but I received the following error in Nios II Eclipse:

NIOS II -ECLIPSE ERROR.png

 

INFO: Tcl message: "STDIO character device is jtag_uart_0"
INFO: Tcl message: "System timer device is sys_timer"
SEVERE: CPU "nios2_gen2_0" has no memories connected to its Avalon host(s)
WARNING: Tcl script "bsp-set-defaults.tcl " error: CPU "nios2_gen2_0" has no memories connected to its Avalon host(s)
SEVERE: [Error] altera_hal_linkerx_generator: Required linker section mappings do not exist: "[.entry, .exceptions, .rodata, .rwdata, .text, .bss, .heap, .stack]"
SEVERE: [Error] altera_hal_linkerx_generator: Required linker section mappings do not exist: "[.entry, .exceptions, .rodata, .rwdata, .text, .bss, .heap, .stack]"
SEVERE: nios2-bsp-create-settings failed.
nios2-bsp: nios2-bsp-create-settings.exe failed
nios2-bsp hal . ../../led.sopcinfo  --cpu-name nios2_gen2_0 failed 

Please note that I have followed the steps below:

  • IP catalog -> University program -> memory -> SRAM controller.
  • SRAM: sram_avalon_sram_slave is connected to nios_cpu: data_master and instruction_master .

  • nios_cpu -> reset_vector_memory and Exception_vector_memory is to sram.avalon_sram_slave.

  • The base address from SRAM is 0x0000_0000.

  • I have created the top entity module in verilog and all pins are connected.

  • The generated SOPCINFO file for the nios_cpu module has the the above info :

 

  <assignment>
   <name>embeddedsw.configuration.exceptionSlave</name>
   <value>sram.avalon_sram_slave</value>
  </assignment>
  <assignment>
   <name>embeddedsw.configuration.resetOffset</name>
   <value>0</value>
  </assignment>
  <assignment>
   <name>embeddedsw.configuration.resetSlave</name>
   <value>sram.avalon_sram_slave</value>
  </assignment>

platform.png

Note:

  • Board Altera DE2-115.

  • Quartus version 22.1.

  • No errors if i try other projects without SRAM.

I would appreciate any advice on this issue.

Thank you.

Labels (1)
6 Replies
sstrell
Honored Contributor III
927 Views

How have you set up the BSP, especially the linker script that defines the memories to use for the processor?

0 Kudos
JingyangTeh
Employee
890 Views

Hi


There is a previous user that meet the same error and was solve by installing a wsl in the windows system.

Have you done that already?

If not you could follow the steps below on the installation.

https://www.intel.com/content/www/us/en/docs/programmable/683525/21-3/installing-windows-subsystem-for-linux.html


Regards

Jingyang, Teh


0 Kudos
JingyangTeh
Employee
820 Views

Hi


Any update on this case?


Regards

Jingyang, Teh


0 Kudos
JingyangTeh
Employee
777 Views

Hi


Since there are no feedback for this thread, I shall set this thread to close pending. Please login to ‘https://supporttickets.intel.com’, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


If you happened to close this thread you might receive a survey. If you think you would rank your support experience less than 4 out of 10, please allow me to correct it before closing or if the problem can’t be corrected, please let me know the cause so that I may improve your future service experience.


Regards

Jingyang, Teh


0 Kudos
Kelvin_Thomas
Novice
567 Views

Hi all,

I'm getting the same error when I'm using onchip_memory_2.0.

I just installed wsl, but it doesn' work. 

 

Kelvin_Thomas_0-1711605306553.png

 

When I open Eclipse this error is shown:

 

Kelvin_Thomas_1-1711605497480.png

 

INFO: Finished loading drivers from ensemble report.
INFO: Tcl message: "STDIO character device is jtag_uart_0"
INFO: Tcl message: "No system timer device"
INFO: Tcl message: "Default instruction linker sections mapped to onchip_memory2_0"
INFO: Tcl message: "Default data linker sections mapped to "
SEVERE: Section ".rodata" has no memory region mapping.
WARNING: Tcl script "bsp-set-defaults.tcl " error: Section ".rodata" has no memory region mapping.
SEVERE: [Error] altera_hal_linkerx_generator: Required linker section mappings do not exist: "[.rodata, .rwdata, .bss, .heap, .stack]"
SEVERE: [Error] altera_hal_linkerx_generator: Required linker section mappings do not exist: "[.rodata, .rwdata, .bss, .heap, .stack]"
SEVERE: nios2-bsp-create-settings failed.
nios2-bsp: nios2-bsp-create-settings failed
nios2-bsp hal . ../../nios_test.sopcinfo --cpu-name nios2_gen2_0 failed

0 Kudos
Kelvin_Thomas
Novice
564 Views

Hi @JingyangTeh, could you give me a hand please? 

0 Kudos
Reply