Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16593 Discussions

Problem with tcl automation script

Altera_Forum
Honored Contributor II
1,398 Views

Hi. I want create a TCL script which will be write into log file after compilation current revision of a project, current timestamp, current active signaltap file name and current revision ID. I have try get current signal tap file name with command  

set stp - valie]  

 

This command work correctly if I run it TCL shell in Quartus II window, but I have error when run this command in script as POST_FLOW_SCRIPT_FILE: 

You must open a project before you can use this command. 

 

Thanks for help.
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
260 Views

You have to first open the project with project_open.

0 Kudos
Altera_Forum
Honored Contributor II
260 Views

Thank. I use project_open command and dont have this error. But now when I use command get_current_revision for get active revision I get default revision name. Do you know why?

0 Kudos
Reply