Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

Quartus 10.0 bug?

Altera_Forum
Honored Contributor II
1,160 Views

Hi again Guys, 

 

 

I have a little issue. Attached file compiles fine with Q 10.1, however on Q 10.0 I'm getting following warnings after analysis and synthesis: 

 

Info: Elaborating entity "rom1" for the top level hierarchy 

Warning (10873): Using initial value X (don't care) for net "datar" at rom1.vhd(18) 

Warning (10873): Using initial value X (don't care) for net "datai" at rom1.vhd(19) 

Warning: Output pins are stuck at VCC or GND 

Warning (13410): Pin "datar[0]" is stuck at GND 

Warning (13410): Pin "datar[1]" is stuck at GND 

Warning (13410): Pin "datar[2]" is stuck at GND 

Warning (13410): Pin "datar[3]" is stuck at GND 

Warning (13410): Pin "datar[4]" is stuck at GND 

Warning (13410): Pin "datar[5]" is stuck at GND 

Warning (13410): Pin "datar[6]" is stuck at GND 

Warning (13410): Pin "datar[7]" is stuck at GND 

Warning (13410): Pin "datar[8]" is stuck at GND 

Warning (13410): Pin "datar[9]" is stuck at GND 

Warning (13410): Pin "datar[10]" is stuck at GND 

Warning (13410): Pin "datar[11]" is stuck at GND 

Warning (13410): Pin "datar[12]" is stuck at GND 

Warning (13410): Pin "datar[13]" is stuck at GND 

Warning (13410): Pin "datar[14]" is stuck at GND 

Warning (13410): Pin "datar[15]" is stuck at GND 

Warning (13410): Pin "datai[0]" is stuck at GND 

Warning (13410): Pin "datai[1]" is stuck at GND 

Warning (13410): Pin "datai[2]" is stuck at GND 

Warning (13410): Pin "datai[3]" is stuck at GND 

Warning (13410): Pin "datai[4]" is stuck at GND 

Warning (13410): Pin "datai[5]" is stuck at GND 

Warning (13410): Pin "datai[6]" is stuck at GND 

Warning (13410): Pin "datai[7]" is stuck at GND 

Warning (13410): Pin "datai[8]" is stuck at GND 

Warning (13410): Pin "datai[9]" is stuck at GND 

Warning (13410): Pin "datai[10]" is stuck at GND 

Warning (13410): Pin "datai[11]" is stuck at GND 

Warning (13410): Pin "datai[12]" is stuck at GND 

Warning (13410): Pin "datai[13]" is stuck at GND 

Warning (13410): Pin "datai[14]" is stuck at GND 

Warning (13410): Pin "datai[15]" is stuck at GND 

Info: Generating hard_block partition "hard_block:auto_generated_inst" 

Warning: Design contains 11 input pin(s) that do not drive logic 

Warning (15610): No output dependent on input pin "clk" 

Warning (15610): No output dependent on input pin "address[0]" 

Warning (15610): No output dependent on input pin "address[1]" 

Warning (15610): No output dependent on input pin "address[2]" 

Warning (15610): No output dependent on input pin "address[3]" 

Warning (15610): No output dependent on input pin "address[4]" 

Warning (15610): No output dependent on input pin "address[5]" 

Warning (15610): No output dependent on input pin "address[6]" 

Warning (15610): No output dependent on input pin "address[7]" 

Warning (15610): No output dependent on input pin "address[8]" 

Warning (15610): No output dependent on input pin "address[9]" 

Info: Implemented 43 device resources after synthesis - the final resource count might be different 

Info: Implemented 11 input pins 

Info: Implemented 32 output pins 

Info: Quartus II Analysis & Synthesis was successful. 0 errors, 47 warnings 

Info: Peak virtual memory: 258 megabytes 

Info: Processing ended: Fri Nov 4 13:47:16 2011 

Info: Elapsed time: 00:00:10 

Info: Total CPU time (on all processors): 00:00:10 

 

It seems to be a Q 10.0 bug. Did you experienced this before?
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
324 Views

Q10 was a bit buggy 

 

Any reason you have to use Q10? you already said it worked in 10.1. How about Q11?
0 Kudos
Altera_Forum
Honored Contributor II
324 Views

agreed, i highly recommend walking away swiftly from 10.0

0 Kudos
Altera_Forum
Honored Contributor II
324 Views

I got it, thanks a lot guys.

0 Kudos
Reply