Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

Quartus Crash with Internal Error: Sub-system: OPT, File: /quartus/synth/opt/opt_carry_pack.cpp

MSenk1
Beginner
963 Views

Hallo,

I'm constantly getting this following error.

Is there a patch?

regards Mike.

 

Version: 20.2.0
Build: 50
Edition: Pro Edition
Problem Details
Error:
Internal Error: Sub-system: OPT, File: /quartus/synth/opt/opt_carry_pack.cpp, Line: 1686
left_input_num + right_input_num <= 4
Stack Trace:
Quartus 0x141ed2: opt_prepare_lcells_for_merging + 0x24e (SYNTH_OPT)
Quartus 0x142adc: OPT_CARRY_PACK::pack_chains + 0x918 (SYNTH_OPT)
Quartus 0x13fb98: OPT_CARRY_PACK::apply_packing_solution + 0xc8 (SYNTH_OPT)
Quartus 0xbf044: OPT_CARRY_PACK::pack_carry_chains + 0x1cb30 (SYNTH_OPT)
Quartus 0xa191d: opt_run_carry_chain_packer + 0x75 (SYNTH_OPT)
Quartus 0x21670: FTM_ROOT_IMPL::postprocess + 0xd0 (SYNTH_FTM)
Quartus 0x1b695: FTM_ROOT_IMPL::start_normal_flow + 0xd95 (SYNTH_FTM)
Quartus 0x19bed: FTM_ROOT_IMPL::start + 0x1dd (SYNTH_FTM)
Quartus 0x18c7f: FTM_ROOT::start + 0xdf (SYNTH_FTM)
Quartus 0x2a3b5: SCL_SYN_HIER::do_tech_mapping + 0x1c5 (SYNTH_SCL)
Quartus 0x5228: scl_run_ftm + 0x78 (SYNTH_SCL)
Quartus 0xc7a8a: SYNTH::QIS::SYNTHESIS_FLOW::ftm + 0x5a (synth_qis)
Quartus 0xcaee5: SYNTH::QIS::SYNTHESIS_FLOW::run_current_phase + 0x1d5 (synth_qis)
Quartus 0xcb4ed: SYNTH::QIS::SYNTHESIS_FLOW::run_full_flow + 0x30d (synth_qis)
Quartus 0x59517: QIS_RTL_STAGE::IMPL::synthesize + 0x4c7 (synth_qis)
Quartus 0x1bd95: qis_synthesize + 0x1d5 (synth_qis)
Quartus 0x16442: TclNRRunCallbacks + 0x62 (tcl86)
Quartus 0x17c4d: TclEvalEx + 0x9ed (tcl86)
Quartus 0xa6a8b: Tcl_FSEvalFileEx + 0x22b (tcl86)
Quartus 0xa5136: Tcl_EvalFile + 0x36 (tcl86)
Quartus 0x156f6: qexe_evaluate_tcl_script + 0x4e6 (comp_qexe)
Quartus 0x145e3: qexe_do_tcl + 0x4b3 (comp_qexe)
Quartus 0x1acae: qexe_run_tcl_option + 0x5ee (comp_qexe)
Quartus 0x18ef1: QCU::DETAIL::intialise_qhd_and_run_qexe + 0xa1 (comp_qcu)
Quartus 0x2b312: qcu_run_tcl_option + 0x2f2 (comp_qcu)
Quartus 0x13cc: qsyn2_tcl_process_default_flow_option + 0x1dc (quartus_syn)
Quartus 0x1a5b0: qexe_run + 0x460 (comp_qexe)
Quartus 0x1b6ea: qexe_standard_main + 0x26a (comp_qexe)
Quartus 0x3039: qsyn2_main + 0x129 (quartus_syn)
Quartus 0x158d8: msg_main_thread + 0x18 (CCL_MSG)
Quartus 0x16f81: msg_thread_wrapper + 0x71 (CCL_MSG)
Quartus 0x21050: mem_thread_wrapper + 0x70 (ccl_mem)
Quartus 0x14e5d: msg_exe_main + 0x20d (CCL_MSG)
Quartus 0x4924: __scrt_common_main_seh + 0x11c (quartus_syn)
Quartus 0x17bd3: BaseThreadInitThunk + 0x13 (KERNEL32)
Quartus 0x6ce50: RtlUserThreadStart + 0x20 (ntdll)

End-trace


Executable: quartus_syn
Comment:
None

System Information
Platform: windows64
OS name: Windows 10
OS version: 10.0

Quartus Prime Information
Address bits: 64
Version: 20.2.0
Build: 50
Edition: Pro Edition

0 Kudos
4 Replies
Kenny_Tan
Moderator
954 Views

This seems to be a new issue. Can you attached your design.qar file for investigation?


If you want to attached privately, let's us know.


0 Kudos
MSenk1
Beginner
911 Views

Thank you,

 

our customer doesn't allow us to send you the code.

We changed some settings in the compiler flags and now it works again. But we don't know why.

 

kind regards

Mike

0 Kudos
Kenny_Tan
Moderator
946 Views

any update?


0 Kudos
Kenny_Tan
Moderator
918 Views

We do not receive any response from you to the previous question that we have provided. Please post a response in the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you with your follow-up questions. 


0 Kudos
Reply