Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

Quartus Prime Lite - SystemVerilog Support

HypeInst
New Contributor I
1,145 Views

The little documentation that I have found regarding Quartus Prime Lite lists "limited language support" of SystemVerilog.  What does that precisely mean?  Is documentation available that specifies Quartus Prime Lite's support of SystemVerilog?

0 Kudos
1 Solution
RichardTanSY_Intel
1,133 Views

The Quartus lite and standard edition do not have SystemVerilog-2009 and SystemVerilog-2012 incorporated in it. You can check the SystemVerilog synthesis support here for Quartus Standard and Quartus Pro.

View solution in original post

0 Kudos
1 Reply
RichardTanSY_Intel
1,134 Views

The Quartus lite and standard edition do not have SystemVerilog-2009 and SystemVerilog-2012 incorporated in it. You can check the SystemVerilog synthesis support here for Quartus Standard and Quartus Pro.

0 Kudos
Reply