Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16595 Discussions

Quartus Prime Pro : Internal Error: Sub-system: GIO, File: /quartus/edt/gio/gio_gdf_parser.cpp,

MRaiz
Novice
1,774 Views

Hi,

 

I converted the old project from max + plus2 to quartus 7, but since I can change FPGAs to MAX 10, I opened the converted project to Quartus Prime. in Quartus Prime during compilation issued a bug. Everywhere compiled. How to solve a problem ?

 

Problem Details

Error:

Internal Error: Sub-system: GIO, File:

/quartus/edt/gio/gio_gdf_parser.cpp, Line: 4611

m_file_db->add(new_text)

Stack Trace:

  0x23b54: GIO_GDF_PARSER::add_connector_graph + 0x504 (EDT_GIO)

  0x54ce4: GIO_GDF_PARSER::parse_graphic_file + 0xb4 (EDT_GIO)

  0x52540: GIO_FILE_DB::open_and_parse_file + 0x90 (EDT_GIO)

  0x47075: GDFX_ELABORATOR::elaborate + 0x1b5 (SYNTH_GDFX)

  0xda8ef: SGN_FN_LIB::elaborate + 0x24f (synth_sgn)

  0xe330f: SGN_FN_LIB::start_gdf_flow + 0xf (synth_sgn)

  0xe1b22: SGN_FN_LIB::start + 0xa42 (synth_sgn)

  0xc294a: SGN_EXTRACTOR::single_module_extraction + 0x15a (synth_sgn)

  0xb7524: SGN_EXTRACTOR::recursive_extraction + 0x204 (synth_sgn)

  0xb6e6f: SGN_EXTRACTOR::recurse_into_newly_extracted_netlist + 0x36f (synth_sgn)

  0xb757b: SGN_EXTRACTOR::recursive_extraction + 0x25b (synth_sgn)

  0xb6e6f: SGN_EXTRACTOR::recurse_into_newly_extracted_netlist + 0x36f (synth_sgn)

  0xb757b: SGN_EXTRACTOR::recursive_extraction + 0x25b (synth_sgn)

  0xb0913: SGN_EXTRACTOR::extract + 0x1d3 (synth_sgn)

  0x1309e: sgn_qic_full + 0x19e (synth_sgn)

   0x4391: qsyn_execute_sgn + 0x131 (quartus_map)

  0x13fac: QSYN_FRAMEWORK::execute_core + 0x12c (quartus_map)

  0x13ab6: QSYN_FRAMEWORK::execute + 0x496 (quartus_map)

  0x112bc: qexe_do_normal + 0x1ec (comp_qexe)

  0x16142: qexe_run + 0x432 (comp_qexe)

  0x16e51: qexe_standard_main + 0xc1 (comp_qexe)

  0x1b06b: qsyn_main + 0x51b (quartus_map)

  0x13328: msg_main_thread + 0x18 (CCL_MSG)

  0x14b0e: msg_thread_wrapper + 0x6e (CCL_MSG)

  0x15b00: mem_thread_wrapper + 0x70 (ccl_mem)

  0x12a11: msg_exe_main + 0xa1 (CCL_MSG)

  0x29862: __tmainCRTStartup + 0x10e (quartus_map)

  0x13033: BaseThreadInitThunk + 0x13 (KERNEL32)

  0x71460: RtlUserThreadStart + 0x20 (ntdll)

 

End-trace

 

 

Executable: quartus_map

Comment:

None

 

System Information

Platform: windows64

OS name: Windows 10

OS version: 10.0

 

0 Kudos
6 Replies
KhaiChein_Y_Intel
950 Views

Hi,

Can you compile in the latest version of the Intel Quartus Prime software, which is v18.1, instead of version 7?

 

Thanks.

 

0 Kudos
MRaiz
Novice
950 Views

@KYeoh 

Hi

I will try but it seems to me that I need to understand the essence of the problem.

Thanks.

0 Kudos
KhaiChein_Y_Intel
950 Views

Hi,

 

A design/project is required for Internal Error debug. Could you compile your design in the latest version of the Intel Quartus Prime software and see if the problem persists? If yes, you may attach a small, non confidential test case that can reproduce the error for investigation.

 

Please be informed that there should be no confidential information disclosed in the forum. Kindly contact your FAE/Intel sales representative to submit a service request to Intel Support team if you would like to provide a confidential design for investigation.

 

Thanks.

 

0 Kudos
MRaiz
Novice
950 Views

@KYeoh 

Hi

I was able to successfully compile the project in the latest version of program.

Thanks for the help.

 

KhaiChein_Y_Intel
950 Views

Hi Mraiz,

 

It's glad to hear that.

May I know which exact version you are using? I believe your reply would be beneficial to more users.

 

Thanks.

0 Kudos
MRaiz
Novice
950 Views

Hi KYeoh

Started using Quartus Prime 18.0 Standard Edition.

 

 

0 Kudos
Reply