Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16596 Discussions

Quartus and Modelsim add libraries automatically

Altera_Forum
Honored Contributor II
1,084 Views

Is there a way to have quartus automatically include the libraries I need in modelsim? Each time I'm manually adding altera_mf_ver for example when I launch modelsim from quartus. 

 

Thank you
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
286 Views

I do not like running Modelsim from Quartus. (In theory it's a good idea, but in practice I've not had much luck) 

There are two commands I do recommend: 

1) ip-make-simscript - This was the originally script where you manually point to each .spd file(which is a QSYS output) and it creates an msim_setup.tcl that has all the files necessary for your IP. 

2) ip-setup-simulation - Newer one that you just tell it your project and it finds all the IP and basically does the same thing. (I recommend this one, but on one design there was an issue so I went with the older one) Note that Tools -> Generate Simulation Setup does this command. 

You still need to add the RTL your simulating, but this takes care of all the Intel-specific stuff.
0 Kudos
Reply