Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

Quartus and Xilinx

Altera_Forum
Honored Contributor II
1,453 Views

Hello all, 

 

A while ago I had read somewhere something that looked like you could compile a xilinx project with Quartus and I see a lot of answers when someone working with ise: use Quartus. 

So my question is, is it really possible to use Quartus and make xilinx projects. If so, how do I tell Quartus it should look for the Xilinx files, wherever Xilinx hides them? 

Right, I just found a post they don't support each other, but I didn't find it in the search for Xilinx, but underneath my post in similiar threads and its last post was 2010. But than I assume they still don't support? 

 

Greetings, 

ZeMoi
0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
604 Views

 

--- Quote Start ---  

Hello all, 

 

A while ago I had read somewhere something that looked like you could compile a xilinx project with Quartus and I see a lot of answers when someone working with ise: use Quartus. 

So my question is, is it really possible to use Quartus and make xilinx projects. If so, how do I tell Quartus it should look for the Xilinx files, wherever Xilinx hides them? 

Right, I just found a post they don't support each other, but I didn't find it in the search for Xilinx, but underneath my post in similiar threads and its last post was 2010. But than I assume they still don't support? 

 

Greetings, 

ZeMoi 

--- Quote End ---  

 

 

You are asking for trouble. Altera and Xilinx are at extreme ends of alphabet. Any mixing is a dangerous ****tail and smoke will go up.
0 Kudos
Altera_Forum
Honored Contributor II
604 Views

Actually in one way I would say you are absolutely right, it can never be of any good to let them compile other vendors FPGA code. 

The other way I would say, why can't they have some similiar compiler, I would think they both must do exactly the same thing: read file, make an FPGA design, convert to chip. Or is it the software part that doesnt matter and shouldn't I see it as c code converted to the right os? I really have no idea how I should look at the way FPGA's are configured, I would say with just some zero's and one's and thats it
0 Kudos
Altera_Forum
Honored Contributor II
604 Views

 

--- Quote Start ---  

Actually in one way I would say you are absolutely right, it can never be of any good to let them compile other vendors FPGA code. 

The other way I would say, why can't they have some similiar compiler, I would think they both must do exactly the same thing: read file, make an FPGA design, convert to chip. Or is it the software part that doesnt matter and shouldn't I see it as c code converted to the right os? I really have no idea how I should look at the way FPGA's are configured, I would say with just some zero's and one's and thats it 

--- Quote End ---  

 

 

I hope the world stayed that simple. The reality is each developed their products their way to make money. The trade secrets are kept tight.
0 Kudos
Altera_Forum
Honored Contributor II
604 Views

You can convert your design into Quartus equivalent but not directly compile using Quartus. Read this app notes for more information 

http://www.altera.com/literature/an/an307.pdf
0 Kudos
Altera_Forum
Honored Contributor II
604 Views

@kaz 

Allright, than thats one less concern to think about. I need to try and automate the compilation process and thought people might only have Quartus and want to compile it with it. Now I can just say; compile it with the Xilinx environment you like, when using Xilinx FPGA and compile it with the Altera vendor you like, when using Altera FPGA's:) 

 

@skyjuice88 

I have read a part of that indeed, but I can't do much with that. I don't have a clue what Xilinx tools are doing, whatever they are supposed to do and I do understand the Quartus tool. So I would first figure out how these Xilinx tools work, I actually need the other way around. How to convert my Quartus design to this ISE design, I really can't understand how people work with that tool. 

I am a happy Quartus user:) and an unhappy person probably abusing ISE instead of using it. 

 

Thanks
0 Kudos
Reply