Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16837 Discussions

Quartus - assembler not generating sof file

Altera_Forum
Honored Contributor II
15,235 Views

Hi, 

By default Quartus assembler generates sof file, however, it's no more in our case. Any particular settings that is causing this ? The Quartus I am using is not an evaluation copy.  

 

Thanks,
0 Kudos
26 Replies
Altera_Forum
Honored Contributor II
7,650 Views

Go to Assignments -> Settings  

Compilation Process settings 

 

Make sure "Run Assembler during compilation" is ticked.
0 Kudos
Altera_Forum
Honored Contributor II
7,650 Views

 

--- Quote Start ---  

Go to Assignments -> Settings  

Compilation Process settings 

 

Make sure "Run Assembler during compilation" is ticked. 

--- Quote End ---  

 

 

I can confirm this is ticked and Assembler does run in the compilation process, however, no sof file is generated.
0 Kudos
Altera_Forum
Honored Contributor II
7,650 Views

Can you check the assembler report? There should be a table of generated files, and maybe a warning in the messages if no .sof file was generated.

0 Kudos
Altera_Forum
Honored Contributor II
7,650 Views

To generate a new sof file thanks to start assembler in quartus processing menu,  

do I have to open before a specific file from my project ?  

because when I open my project .qpf and start assembler it doesn't work  

Error: Run Fitter (quartus_fit) before Assembler (quartus_asm) 

Error: Quartus II Assembler was unsuccessful. 1 error, 0 warnings
0 Kudos
Altera_Forum
Honored Contributor II
7,650 Views

There was a problem with the fitter - what was the error?

0 Kudos
Altera_Forum
Honored Contributor II
7,650 Views

 

--- Quote Start ---  

To generate a new sof file thanks to start assembler in quartus processing menu,  

do I have to open before a specific file from my project ?  

because when I open my project .qpf and start assembler it doesn't work  

Error: Run Fitter (quartus_fit) before Assembler (quartus_asm) 

Error: Quartus II Assembler was unsuccessful. 1 error, 0 warnings 

--- Quote End ---  

 

 

Try deleting the folders "db" and "incremental_db", before compiling the project.  

 

Sometimes that helps if Quartus is doing weird things.
0 Kudos
Altera_Forum
Honored Contributor II
7,654 Views

I did what you said vhdl_world and the problem with run fitter disappeared, so it's ok. 

But I have the Error: Run Analysis and Synthesis (quartus_map) with top-level entity name "top_fpga_opg_master" before running the Assembler (quartus_asm) 

I don't know where is the problem ? 

Do I have to settle something ?
0 Kudos
Altera_Forum
Honored Contributor II
7,654 Views

 

--- Quote Start ---  

I did what you said vhdl_world and the problem with run fitter disappeared, so it's ok. 

But I have the Error: Run Analysis and Synthesis (quartus_map) with top-level entity name "top_fpga_opg_master" before running the Assembler (quartus_asm) 

I don't know where is the problem ? 

Do I have to settle something ? 

--- Quote End ---  

 

 

So, why don't you do that (Run Analysis and Synthesis with "top_fpga_opg_master")? 

 

Is your top module selected correctly? Otherwise go to "Project Navigator" window > Files > Right click on the correct file and "Set as Top-Level Entity".  

 

good luck!
0 Kudos
Altera_Forum
Honored Contributor II
7,654 Views

 

--- Quote Start ---  

To generate a new sof file thanks to start assembler in quartus processing menu,  

do I have to open before a specific file from my project ?  

because when I open my project .qpf and start assembler it doesn't work  

Error: Run Fitter (quartus_fit) before Assembler (quartus_asm) 

Error: Quartus II Assembler was unsuccessful. 1 error, 0 warnings 

--- Quote End ---  

 

 

Either the fitter didn't run successfully in the previous attempt or the data base files get corrupted somehow....Please delete the db and incremental db folder and rerun the whole process till fitter.
0 Kudos
Altera_Forum
Honored Contributor II
7,654 Views

Julien_92, are you starting the compilation with a script or with Gui (Quartus II)? 

Is the line "Analysis and Synthesis" green, as in the picture below/attachment? 

 

Are there any other Error messages?
0 Kudos
Altera_Forum
Honored Contributor II
7,654 Views

Thank you assembler worked but I don't have my new sof file in my Quartus project ? I still have my old one. I wanted to know why did we have to delete db and incremental db to run fitter ?

0 Kudos
Altera_Forum
Honored Contributor II
7,654 Views

Warning: Can't generate programming files for your current project because you do not have a valid license for the following IP core or cores. 

Like AlphaKh the quartus I use is not an evaluation copy so where is the problem ?
0 Kudos
Altera_Forum
Honored Contributor II
7,654 Views

Does anyone know how to solve this problem please ?

0 Kudos
Altera_Forum
Honored Contributor II
7,654 Views

Hi Julien,  

 

Can you provide more details like: 

 

1) What Quartus version and device you're using? 

2) Are there any error messages that pop up or everything went smoothly but it just didnt generate any .SOF file?
0 Kudos
Altera_Forum
Honored Contributor II
7,654 Views

 

--- Quote Start ---  

Does anyone know how to solve this problem please ? 

--- Quote End ---  

 

 

What licence do you have? the error says you dont have a licence for the specific IP cores - some have to be purchased separately 

Also, web edition only covers a few devices.
0 Kudos
Altera_Forum
Honored Contributor II
7,654 Views

I use Quartus II, the only problem is when I want to generate a .sof otherwise I don't have others warnings. 

Warning : Can't generate programming files for your current project because you do not have a valid license for the following IP core or cores
0 Kudos
Altera_Forum
Honored Contributor II
7,654 Views

The warning tells you the problem - you dont have a valid licence.

0 Kudos
Altera_Forum
Honored Contributor II
7,654 Views

It's a stupid question but can I download another Quartus II software free without having problem  

with the license when I want to generate a .sof file ?  

And if it's the case do you have a link to download this one ? 

Thank you
0 Kudos
Altera_Forum
Honored Contributor II
7,654 Views

It's a stupid question but can I download another Quartus II software free without having problem  

with the licence when I want to generate a .sof file ?  

And if it's the case do you have a link to download this one ? 

Thank you
0 Kudos
Altera_Forum
Honored Contributor II
6,773 Views

Afaik, anyone can download the software. But unless you have the correct licence, you cannot generate sof file. 

 

What licence do you have?
0 Kudos
Reply