Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16593 Discussions

QuartusII: Invalid assignment for clock

Altera_Forum
Honored Contributor II
2,035 Views

solved  

the problem was to leave a space between '10' and '[' : 

 

create_clock -period 10 [get_ports ref_clk_i] 

 

***************************************************** 

Hi all, 

before TimeQuest Analysis, i was setting clock_port named "ref_clk_i" to a signal of 100MHz: 

 

create_clock -period 10[get_ports ref_clk_i] 

 

but appears this error: 

 

warning: invalid assignment for clock: the option -name has the following error: could not identify a name for this clock. clock was not created or updated. 

 

Where am I going wrong?
0 Kudos
7 Replies
Altera_Forum
Honored Contributor II
792 Views

create_clock -period 10 -name ref_clk [get_ports ref_clk_i] 

When you get errors like that, type "create_clock -long_help" in TimeQuest and it usually becomes apparent.
0 Kudos
Altera_Forum
Honored Contributor II
792 Views

thanks for your answer, but now I've another problem :) 

in the same project, I have 2 clocks in input: 'ref_clk_i' and 'clock_i'. 

I set up these clocks with tickle commands 

 

create_clock -period 10 -name ref_clk_i [get_ports ref_clk_i] 

create_clock -period 1 -name clk_i [get_ports clk_i] 

 

and after that I clicked 'Update the timing netlist', but this is the warning message I received: 

 

warning: the following clock transfers have no clock uncertainty assignment 

warning: from ref_clk_i (rise) to ref_clk_i (rise) (setup and hold) 

warning: from clk_i (rise) to ref_clk_i (rise) (setup and hold) 

warning: from clk_i (fall) to ref_clk_i (rise) (setup and hold) 

 

Where am I going wrong?
0 Kudos
Altera_Forum
Honored Contributor II
792 Views

add the following: 

set_clock_uncertainty 

(Not sure what version of TimeQuest, but newer ones give a messageand then run it for you.) You might find the following useful: 

http://www.alterawiki.com/wiki/timequest_user_guide
0 Kudos
Altera_Forum
Honored Contributor II
792 Views

you're right, in fact, here's the message I received: 

 

tcl>set_clock_uncertainty 

missing required positional argument: <uncertainty> 

--------------------------------------------------------------------------- 

usage: set_clock_uncertainty [-h | -help] [-long_help] [-add] [-fall_from <fall_from_clock>] [-fall_to <fall_to_clock>] [-from <from_clock>] [-hold] [-rise_from <rise_from_clock>] [-rise_to <rise_to_clock>] [-setup] [-to <to_clock>] <uncertainty> 

-h | -help: short help 

-long_help: long help with examples and possible return values 

-add: specifies that this assignment is an addition to the clock uncertainty derived by derive_clock_uncertainty call 

-fall_from <fall_from_clock>: valid destinations (string patterns are matched using tcl string matching) 

-fall_to <fall_to_clock>: valid destinations (string patterns are matched using tcl string matching) 

-from <from_clock>: valid destinations (string patterns are matched using tcl string matching) 

-hold: specifies the uncertainty value (applies to clock hold or removal checks) 

-rise_from <rise_from_clock>: valid destinations (string patterns are matched using tcl string matching) 

-rise_to <rise_to_clock>: valid destinations (string patterns are matched using tcl string matching) 

-setup: specifies the uncertainty value (applies to clock setup or recovery checks) (default) 

-to <to_clock>: valid destinations (string patterns are matched using tcl string matching) 

<uncertainty>: uncertainty 

 

 

I surely have to add something
0 Kudos
Altera_Forum
Honored Contributor II
792 Views

Sorry, I typed it wrong. Use: 

derive_clock_uncertainty 

That will auto-calculate all the uncertainties between clocks. No need for set_clock_uncertainty.
0 Kudos
Altera_Forum
Honored Contributor II
792 Views

ok, in fact here's the message: 

 

tcl> derive_clock_uncertainty 

Info: Clock uncertainty calculation is delayed until the next update_timing_netlist call.
0 Kudos
Altera_Forum
Honored Contributor II
792 Views

I've created the .sdc file and I've added it to the project; 

I restarted the compilation and I noticed that the number of warnings is decreased, but however remains this: 

 

warning: the following clock transfers have no clock uncertainty assignment 

warning: from ref_clk_i (rise) to ref_clk_i (rise) (setup and hold) 

 

and I don't know why. 

Can you help me?
0 Kudos
Reply