Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

QuartusII encrypted IP handling integrity

TvD
Beginner
495 Views

I understand Quartus can handle encrypted core input files (RTL IP's).

To ensure these core details remain 'secret' the output files (see attached picture, red circles) also should be encrypted in my view; is this the case?

Otherwise reverse engineering of the outputs can result in enclosing the encrypted IP's.

 

Thanks,

 

TvD

0 Kudos
4 Replies
RichardTanSY_Intel
458 Views

Hi @TvD 

 

With some effort, the original content can be reverse engineered.

You can encrypt your files with the IEEE 1735 Encryption Standard before sharing the design with end customers, in order to protect the IP contents.

You may checkout the webpage here for further details.

https://www.intel.com/content/www/us/en/programmable/documentation/spj1513986956763.html#mwh1460666140867

 

Best Regards,
Richard Tan

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 

0 Kudos
RichardTanSY_Intel
457 Views

You may also checkout the below KDB to keep your design files private for any security reasons.

https://www.intel.com/content/www/us/en/programmable/support/support-resources/knowledge-base/solutions/rd07312007_549.html

 

Best Regards,
Richard Tan

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 

 

0 Kudos
RichardTanSY_Intel
436 Views

Hi @TvD 

 

May I know does my latest reply helps? Do you need further help in regards to this case? 

 

Best Regards,
Richard Tan

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 

0 Kudos
RichardTanSY_Intel
422 Views

Hi @TvD ,

 

I have yet to receive any response from you to the previous question/reply/answer that I have provided but I believed that I have answered your question. 
With that, I will now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.

Best Regards,
Richard Tan

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 

0 Kudos
Reply