- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Hi,
I don't understand why the following code doesn't work, can you enlighten me ?
freq_authorization : process(clk)
begin
if rising_edge(clk) then
if ((unsigned(freq) >= "00001100" ) and (unsigned(freq) <= "00111101" )) then --compare if freq entry is between 12 and 59
allowed_freq <= '1';
else
allowed_freq <= '0';
end if;
end if;
end process freq_authorization;
If it can help, modelsim is returning# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE when I run. Thanks for your help. EDIT : freq is in std_logic_vector(7 downto 0);
Link Copied
4 Replies
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
freq has not been initialized
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
What do you mean ? Freq is my entry port
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
--- Quote Start --- What do you mean ? Freq is my entry port --- Quote End --- Yes, have you set the value for Freq in your testbench? if it contains 'U' (uninitialised) or 'X' (unknown) then you get the warning you have.
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Thanks, your advices led me to solve my problem.
Reply
Topic Options
- Subscribe to RSS Feed
- Mark Topic as New
- Mark Topic as Read
- Float this Topic for Current User
- Bookmark
- Subscribe
- Printer Friendly Page