Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
17252 Discussions

Running ModelSim in command-line mode

Altera_Forum
Honored Contributor II
10,176 Views

Hi, 

I write a testbench name test_FA.v. In the comman prompt, i go to test_FA.v directory and taip  

1) vlib work 

2) vlog test_FA.v.  

3) vsim -c -do FA_run_msim_rtl_verilog.do FA -wlf waveform.wlf 

 

However, I cannot see waveform.wlf created in the directory.Can anyone help me?
0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
5,166 Views

Perform the same sequence in the Modelsim GUI first. You might have a problem. 

 

For example, although you create a work library, you do not map it, eg., 

 

1) vlib work 

2) vmap work work 

or 

vmap work [pwd]/work 

 

If modelsim.ini already has a work mapping and it does not exist then you will see an error message. 

 

Also, I'm not sure that you have the names correct, eg., (2) has test_FA but (3) has FA, perhaps this is supposed to be test_FA? 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
5,166 Views

Sorry ,i taip wrong, act all is FA. Now i follow steps below but still cannot get the waveform.  

 

1) vlib work 

2) vmap work work 

3) vlog FA.v.  

4) vsim -c -do FA_run_msim_rtl_verilog.do FA -wlf waveform.wlf 

 

The contain of FA_run_msim_rtl_verilog.do as below: 

 

transcript on 

if {[file exists rtl_work]} { 

vdel -lib rtl_work -all 

vlib rtl_work 

vmap work rtl_work 

vlog -vlog01compat -work work +incdir+C:/altera/11.0/quartus/chapter2/exam2_3 {C:/altera/11.0/quartus/chapter2/exam2_3/FA.v} 

 

 

I still have 1 question, if i taip vsim -c in command prompt, the command prompt will become 

Modelsim> 

when i taip "wave create -pattern none -portmode input -language vlog /FA/cin" it shows "wave create not supported in batch mode" can I write the script as in modelsim GUI ?
0 Kudos
Altera_Forum
Honored Contributor II
5,166 Views

In the modelsim gui, simply type 

 

Modelsim> vlib rtl_work 

Modelsim> vmap work rtl_work 

Modelsim> vlog FA.v 

Modelsim> vsim FA 

Modelsim> add wave * 

Modelsim> run 10 us 

 

Your FA.v design should be a testbench, i.e., something that provides stimulus to a component. Normally you would have FA.v and FA_tb.v, where FA_tb.v instantiates the component in FA.v 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
5,166 Views

yes,i got no problem when running in GUI, but how can i simulate the same thing in windows command prompt?

0 Kudos
Altera_Forum
Honored Contributor II
5,166 Views

Put the same commands in a Tcl script, and run it. 

 

Though it makes no sense to create a waveform when using the command-line. For command-line mode, you typically run the testbench and check the exit code for pass/fail, then run the GUI for interactive debugging of the issue. 

 

Cheers, 

Dave
0 Kudos
Reply