Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16636 Discussions

STA analysis under restrict condition

eng_jp
Novice
451 Views

Hi,

 

Can I earn STA analysis under restrict condition?

 

Basically,  the Cyclone4 C-grade devices STA result analyze in 0-85 Celsius degree , voltage min/max and so on .

 Can I change above conditions in Quartus ?

For example, Can Quartus change STA analysis condition of temperature from 0-85 Celsius degree to 0-70 Celsius degree?

 

Best regards,

 

0 Kudos
5 Replies
Nurina
Employee
434 Views

Hello,


May I know what is the OPN of your device?


Regards,

Nurina


0 Kudos
eng_jp
Novice
429 Views

Hi Nurina,

 

What is the OPN?

Does below product code same as the OPN?

EP4CE40F23C8N

 

Best regards,

 

0 Kudos
sstrell
Honored Contributor III
412 Views

The timing models are designed for the extremes of operation of the device to guarantee you're meeting timing in all possible valid operating conditions.  You can't customize the timing models like this.

0 Kudos
Nurina
Employee
399 Views

Hello,


Yes, that is the OPN. Thank you for providing this. As mentioned in above comment, you cannot customize the timing model.


Please refer to Recommended Operating Conditions of this document: https://www.intel.com/content/www/us/en/content-details/654714/cyclone-iv-device-handbook-volume-3-chapter-1-device-datasheet.html


Regards,

Nurina


0 Kudos
Nurina
Employee
379 Views

Hello,


We do not receive any response from you on the previous answer provided. Please login to ‘https://supporttickets.intel.com’, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


p/s: If any answer from community or Intel support are helpful, please feel free to mark as solution, give Kudos and rate 4/5 survey


Regards,

Nurina


0 Kudos
Reply