Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Sending DATA FROM LAPTOP TO DE2

Altera_Forum
Honored Contributor II
1,164 Views

Hello 

I am very very green to altera and i am facing a difficult problem . 

I Need to create communication link between DE2 board to laptop.(basically i am simulating transmitter and receiver laptop is transmitter and fpga (DE2 board) is receiver.) 

What is the eseyest way to do it?from what i red at DE2 datasheet there is an usb connector so i was thinking to connect usb to usb cable from laptop to DE2. 

Laptop will see it as a virtual com but what will see the DE2 and how do i recive the data? 

Thx.
0 Kudos
7 Replies
Altera_Forum
Honored Contributor II
332 Views
0 Kudos
Altera_Forum
Honored Contributor II
332 Views

Thx  

I Was not sure were to place the question. 

Any idea how to solve it?
0 Kudos
Altera_Forum
Honored Contributor II
332 Views

If there is an FTDI usb to com converter, your laptop will be able to send/receive com port data's on the virtual com port, 

in your fpga you can then use/drive the uart lines.
0 Kudos
Altera_Forum
Honored Contributor II
332 Views

Thx,So bsicly from what i understand i need to buy a cable tha on side will be usb that i will see on my laptop as virtual com and on another side it will be rs232 that will be connected to fpga DE2, 

Do i need to use nyos2 for reading data from the uart that operating RS232 on the DE2 board or i can read it like in micro controllers evaluation board with already build drivers something like include uart.h and then just use red and write ? 

Thx!!!!!
0 Kudos
Altera_Forum
Honored Contributor II
332 Views

Yes. I do not know the détails for the uart in your fpga, sorry.

0 Kudos
Altera_Forum
Honored Contributor II
332 Views

Thx, If you will be so kind pls take a look this is my board  

http://www.altera.com/education/univ/materials/boards/de2/unv-de2-board.html 

Thank you very much!!!!!!!!!!!!!
0 Kudos
Altera_Forum
Honored Contributor II
332 Views

The DE2 board already has a serial interface (RS232).  

 

Your NIOS system needs to instantiate a UART, and that UART can be used to communicate with your PC.  

 

The data bandwidth over the link will be limited by the UART BAUD rate, eg., 115.2kbaud. 

 

If you need a faster data rate, then look at using the network interface. 

 

If you can deal with a slower interface, then look at using the JTAG interface 

 

http://www.alterawiki.com/wiki/using_the_usb-blaster_as_an_sopc/qsys_avalon-mm_master_tutorial 

 

 

Cheers, 

Dave
0 Kudos
Reply