Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

Still no support on the localparam on "parameter_port_list". When will it available?

YauS
Beginner
1,572 Views

I had "Google" the problem of {Error (10170): Verilog HDL syntax error at LA_BIT_BUFFER.sv(4) near text: "localparam"; expecting an identifier ("localparam" is a reserved keyword ). }. I found nearly identical problem on stackoverflow. It is a 2015 post. Now, nearly 2019. It still not FIX!!!!!

 

0 Kudos
2 Replies
Vicky1
Employee
646 Views

Hi,

Please check the below solution & provide the following details,

https://www.intel.com/content/www/us/en/programmable/support/support-resources/knowledge-base/solutions/rd10062006_195.html

 

  1. Quartus edition & version used.
  2. Can you provide your design code?

 

Let me know if this has helped resolve the issue you are facing or if you need any further assistance.

 

Best Regards

Vikas Jathar 

(This message was posted on behalf of Intel Corporation)

 

 

0 Kudos
escottp
Novice
570 Views

Hello @Vicky1 

 

Do you know if there has been any attempt to resolve this issue. I am on Quartus 20.1.1 and there still appears to be no support for a localparam to exist in the module port list (despite that feature being a basic part of the language). 

 

In your previous reply to this post, you provided a link. That link directs us to the generic "FPGA Knowledge Base" page, not to a particular solution. Please advise!

 

Thanks! 

0 Kudos
Reply