Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16596 Discussions

Using the Expansion header.

Altera_Forum
Honored Contributor II
1,046 Views

Hello, I'm using the DE2 board and currently trying to control a stepper motor but im having some trouble using the expansion header. The motor needs 5V input and i read in the manual that the header can support that however, i can only find a 3.3V supply.  

 

Any info or help would be greatly appreciated. 

 

Thanks.
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
267 Views

Hi, 

DE2 Board provides two 40-pin expansion headers such as DC +5V (VCC5), DC +3.3V (VCC33). 

Refer “4.6 Using the Expansion Header” from the following DE2_User Manual_1.6, 

http://www.terasic.com.tw/cgi-bin/page/archive.pl?language=english&categoryno=183&no=30&partno=4 

 

Let me know if this has helped resolve the issue you are facing or if you need any further assistance. 

 

Best Regards 

Vikas Jathar  

Intel Customer Support – Engineering 

(Under Contract to Intel)
0 Kudos
Reply