Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16603 Discussions

Why is Altera® USB Blaster not detected by Quartus® Programmer when it is recognized correctly in Device Manager?

NMadh
Beginner
984 Views

HI

 

I am not able to program my Cyclon V EVM as the Quartus prime (Quartus prime version 19.1.0 build 670 09/22/2019 SJ Lite Edition) because USB blaster II is not being detected by Quartus programmer.

 

This seems like a road block as I am not able to flash .sof file for testing.

 

Ideally hardware setup should be "USB-Blaster [USB-1]" but it is showing "CV SoCKit [USB-1]"

 

programmer_error.png

 

Device manager is recognizing the device properly.

altera_device_manager_1.png

Then I found a link from Intel FAQ link (added below) requesting me to disable"Altera JTAG server" from the services. I did that as well but still no luck.

 

msconfig_altera.png

 

https://www.intel.com/content/www/us/en/programmable/support/support-resources/knowledge-base/solutions/why-is-altera-usb-blaster-not-detected-by-quartus-programmer-whe.html

 

FYI: I am using Windows 10 64 bit OS.

the EVM Kit is from teraASIL Cuclone V SOC kit.

https://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=167&No=816&PartNo=1

 

EVkit.png

 

 

It seems like I am in a road block as I want to test some thing ASAP. Could some one help me to overcome this hurdle?

 

 

 

0 Kudos
2 Replies
AR_A_Intel
Employee
909 Views

Hello,

Good day

 

Welcome to INTEL forum. Could you help try on this just reboot Altera JTAG server (not disable it)

•           Goto Window task manager -> process -> look for jtagserver.exe and kill it

•           After that, the default JTAG clock frequency is 24M. Could you try reducing the JTAG clock speed to 16M or 6M to see how things go.             

 

0 Kudos
Eric_AMF
Beginner
590 Views

Hi! Have you been able to fix this? I am having the same problem ... Thanks !!

0 Kudos
Reply