Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

how do you map an array to a std_logic_vector?

RKapi
Beginner
1,121 Views

For eg: I have an array -

type REG_TYPE is array (0 to FIR_ORDER-1) of signed (DATA_WIDTH+COEFF_WIDTH-1 downto 0)

 

and another signal X: REG_TYPE.

 

As per the need of the requirement, i would be calling some adders and multipliers to meet the objective.

And adders have the type of STD_LOGIC_VECTOR.

 

Upon Portmapping, i have come to a situation where my result(STD_LOGIC_VECTOR) is getting values from REG_TYPE.

 

This is showing an error. Please provide me guidance

0 Kudos
2 Replies
mfro
New Contributor I
382 Views

>> This is showing an error.

 

What error?

 

It would be a lot easier to help you if you would show your code.

0 Kudos
KhaiChein_Y_Intel
382 Views

Can you provide the code and full error message?

0 Kudos
Reply