Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16603 Discussions

how to execute uvm code and rtl code ....can you tell me the questasim uvm scripting

sn00011
Beginner
1,108 Views
 
0 Kudos
1 Reply
Vicky1
Employee
553 Views
Hi sree, To execute uvm code, If you are using precompiled & auto-loading version of UVM which is available in Questasim 10.0a versions onwords and if your design imports the uvm_pkg(import uvm_pkg::*;)then system verilog packages & DPI shared objects will be loaded automatically. If you have a file called hello.sv which imports the uvm_pkg, then you can execute as below, vlib work vlog hello.sv vsim hello ... For rtl code, vlog hello.v vsim -novopt hell add wave * run -all Regards, Vikas
0 Kudos
Reply