Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16545 Discussions

invalid jtag configuration on signaltap

KWang97
Beginner
1,047 Views

Invalid jtag configuration when run signaltap, while it can be programmed successfully.

Why and How to solve this problem? Thanks!

Tools: Quartus prime standard 15.1.0  , USB Blaster II,

FPGA  type :  5CSEBA2U23

Untitled.png

0 Kudos
3 Replies
sstrell
Honored Contributor III
1,033 Views

If programming was successful in the Quartus Programmer (instead of doing the programming from the JTAG Configuration section of the Signal Tap window), Signal Tap sometimes will still have this message.  Just try clicking the start analysis button as usual to see if it still works.  If it doesn't, you may have made a change in your Signal Tap file that requires a recompilation, so the Signal Tap file doesn't match the Signal Tap configuration programmed into the device.

0 Kudos
RichardTanSY_Intel
1,006 Views

Does the suggestion from sstrell works for you? Do you need further help on this case? 

0 Kudos
RichardTanSY_Intel
994 Views

We do not receive any response from you to the previous reply that I have provided. Please post a response in the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you with your follow-up questions.

0 Kudos
Reply