Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

localparam and parameter values in Synthesis report

BSuma
Beginner
1,185 Views

I am writing a parameterized Verilog module. In the module I calculate some localparam values using the parameter valued passed.  Please seed the code below for example. I want to know the values calculated for localparam during synthesis. But I cannot find that information in reports shown in the Quartus Prime software. I am using Quartus Prime Lite edition.

Where can I find that information?

module Counter #(CLOCK_FREQUENCY=25000000,TIME=1000000)(
input Clk,
input Start,
output reg End
);

localparam megs = (CLOCK_FREQUENCY/1000000)*TIME;

0 Kudos
6 Replies
KhaiChein_Y_Intel
1,176 Views

Hi,


Have you tried to simulate the design?


Thanks

Best regards,

KhaiY


0 Kudos
BSuma
Beginner
1,171 Views

No, I didn't try to simulate it.

But, the code synthesizes and works when programmed to an FPGA chip.

0 Kudos
KhaiChein_Y_Intel
1,163 Views

Hi,


You may check the parameter value in Processing > Compilation Report > Synthesis > Parameter Settings by Entity Instance > Parameter Settings for XX.


Thanks

Best regards,

KhaiY


0 Kudos
KhaiChein_Y_Intel
1,162 Views
0 Kudos
KhaiChein_Y_Intel
1,143 Views

Hi,


We do not receive any response from you to the previous question/reply/answer that I have provided. This thread will be transitioned to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you


Best regards,

KhaiY


0 Kudos
Reply