- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
We have various versions of Quartus and modelsim (all the free web versions, including 15.1 downloaded yesterday) installed on several PCs and modelsim was working until recently, however on all PCs, versions and designs it now gives error 211 and closes after a few seconds. There is nothing in the modelsim transcript file about the error. I have searched the forum and googled and the only thing I can find are references to license issues, however this is all with the free version. Here is the last entry in the transcript file:# vcom -2008 -work work {C:/projects/FPGAs/GEP/system keith 15Oct15/228-603-01-issB/Design Files/AXES/tbMOVING_AVERAGE_FOR_ADS8332.vhd}# Model Technology ModelSim ALTERA vcom 10.3c Compiler 2014.09 Sep 20 2014# Start time: 10:00:24 on Dec 01,2015# vcom -reportprogress 300 -2008 -work work C:/projects/FPGAs/GEP/system keith 15Oct15/228-603-01-issB/Design Files/AXES/tbMOVING_AVERAGE_FOR_ADS8332.vhd # -- Loading package STANDARD# -- Loading package TEXTIO# -- Loading package std_logic_1164# -- Loading package MATH_REAL# -- Loading package NUMERIC_STD# -- Loading package AVERAGE_PACKAGE# -- Compiling entity tbMOVING_AVERAGE_FOR_ADS8332# -- Compiling architecture tbMOVING_AVERAGE_FOR_ADS8332_arch of tbMOVING_AVERAGE_FOR_ADS8332# End time: 10:00:25 on Dec 01,2015, Elapsed time: 0:00:01# Errors: 0, Warnings: 0# # vsim -t 1ps -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L cycloneive -L rtl_work -L work -voptargs="+acc" tbMOVING_AVERAGE_FOR_ADS8332# vsim "+altera" -l msim_transcript -do "228-603-01-issB_run_msim_rtl_vhdl.do" # Start time: 10:00:26 on Dec 01,2015# Loading std.standard# Loading std.textio(body)# Loading ieee.std_logic_1164(body)# Loading ieee.math_real(body)# Loading ieee.numeric_std(body)# Loading work.average_package(body)# Loading work.tbmoving_average_for_ads8332(tbmoving_average_for_ads8332_arch)# Loading work.moving_average_for_ads8332(behaviour)# Loading work.moving_averager(rtl)
Link Copied
- « Previous
-
- 1
- 2
- Next »
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
It is Symantec causing the problem. I am now waiting for our IT dept to try disabling it on the Altera installation folder.
How do I raise a case with Mentor?- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content

- Subscribe to RSS Feed
- Mark Topic as New
- Mark Topic as Read
- Float this Topic for Current User
- Bookmark
- Subscribe
- Printer Friendly Page
- « Previous
-
- 1
- 2
- Next »