Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

my scheme simulation is ok , but test have errors

999timur999
Beginner
5,089 Views

# ** Error: (vlog-13069) Waveform.vwf.vt(40): near "1": syntax error, unexpected INTEGER NUMBER, expecting IDENTIFIER or TYPE_IDENTIFIER or NETTYPE_IDENTIFIER.

# ** Error: (vlog-13069) Waveform.vwf.vt(50): near "Q0": syntax error, unexpected IDENTIFIER, expecting ')'.

# End time: 20:57:29 on Jun 10,2021, Elapsed time: 0:00:00

# Errors: 2, Warnings: 0

# ** Error: C:/Q2011/modelsim_ase/win32aloem/vlog failed.

# Executing ONERROR command at macro ./Trite.do line 4

 

Error. 5PW08oWhNgc.jpgUPqQqgRKEl8.jpg

0 Kudos
2 Replies
Nurina
Employee
5,069 Views

Hi,


These errors mean there are errors in your verilog/VHDL code.


Error: (vlog-13069) Waveform.vwf.vt(40): near "1": syntax error, unexpected INTEGER NUMBER, expecting IDENTIFIER or TYPE_IDENTIFIER or NETTYPE_IDENTIFIER.

From the bdf I can see that your output signal names start with a number. This isn't allowed in verilog/VHDL and you need to rename them starting with an alphabet.


Error: (vlog-13069) Waveform.vwf.vt(50): near "Q0": syntax errir, unexpected IDENTIFIER, expecting ')'.

I can't tell why you get this error from your bdf. Can you attach the "Waveform.vwf.vt" file?


Regards,

Nurina


0 Kudos
Nurina
Employee
5,050 Views

Hi,

We did not receive any response to the previous question/reply/answer that I have provided, thus I will put this case to close pending. Please post a response in the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you with your follow-up questions.

Regards,
Nurina

PS: If you find any comment from the community or Intel Support to be helpful, feel free to give Kudos.

0 Kudos
Reply