Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

nios processor memory

Altera_Forum
Honored Contributor II
1,181 Views

Is there any way in SOPC builder or Qsys to use a costume memory as main processor SRAM? In my build I can only use of on chip RAM or ROM. NIOS processor can not detect another type of memory as its SRAM!

0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
339 Views

You mean use SRAM or SDRAM as Memory for the NIOS? 

 

If this is the case, this is possible. 

but be aware to set the exception and reset vector correct, so that this will work...
0 Kudos
Altera_Forum
Honored Contributor II
339 Views

I try to connect the memory reset and execption to my memory but SOPC builder does not let me to do that. I can only connect it if the memory is On-Chip Memory (ROM/RAM) type of memory!

0 Kudos
Altera_Forum
Honored Contributor II
339 Views

If you are creating your own custom component which is representing some memory, then you need a special setting in your hw.tcl  

 

See this thread and others: 

 

http://www.alteraforum.com/forum/showthread.php?t=25036
0 Kudos
Altera_Forum
Honored Contributor II
339 Views

 

--- Quote Start ---  

I try to connect the memory reset and execption to my memory but SOPC builder does not let me to do that. I can only connect it if the memory is On-Chip Memory (ROM/RAM) type of memory! 

--- Quote End ---  

 

 

If you use QSYS this works fine, you could look the reference design for CIII Ethernet I think, there is no On-Chip RAM to the NIOS.
0 Kudos
Altera_Forum
Honored Contributor II
339 Views

Thanks Ted! It resolved my problem.

0 Kudos
Reply