Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16593 Discussions

programmer only sees ethernet blaster, not usb blaster

Altera_Forum
Honored Contributor II
5,977 Views

hi evryone, am a complete newbie. I run quartus II on win7 x32, but programmer only sees 'ethernet blaster' at 'add hardware', usb blaster is not present at all. There is already a usb blaster folder in the quartus II program files, indicating that it has installed. pls, i need help.

0 Kudos
10 Replies
Altera_Forum
Honored Contributor II
3,144 Views

When you insert the cable into the USB, does it indicates it has found the driver? install the driver located into the altera folder. I face the same problem sometimes , closing the programmer and reopening or re-inserting the usb helps. In your case, most probably the driver is missing.

0 Kudos
Altera_Forum
Honored Contributor II
3,144 Views

Thanks. the notifications bar does not indicate anything when the usb cable is inserted. However, I have gone to device manager, it is showing 'The drivers for this device are not installed. (Code 28)'.pls, what can i do.

0 Kudos
Altera_Forum
Honored Contributor II
3,144 Views

Install the driver :) 

It will be located in altera/90(or whatever version u are using)/quartus/drivers/usb-blaster/ 

it can be installed through the device manager i think.
0 Kudos
Altera_Forum
Honored Contributor II
3,144 Views

Apparently there are High Priests who know the solution to this problem and will not share it with the user community. I am sick and tired of playing Quartus II Roulette to find a driver which works.  

 

Why is it when I finally get this working, on another day it fails again?  

 

Yes, I have plugged and unplugged the cable. Yes, I have reinstalled that driver. Yes, I see the USB-blaster in device manager.  

 

Why doesn't anyone have a REAL solution to this problem? Does anyone from Altera read this stuff? Does anyone there actually care? Do they want to sell silicon???
0 Kudos
Altera_Forum
Honored Contributor II
3,144 Views

 

--- Quote Start ---  

Apparently there are High Priests who know the solution to this problem and will not share it with the user community. I am sick and tired of playing Quartus II Roulette to find a driver which works.  

 

Why is it when I finally get this working, on another day it fails again?  

 

Yes, I have plugged and unplugged the cable. Yes, I have reinstalled that driver. Yes, I see the USB-blaster in device manager.  

 

Why doesn't anyone have a REAL solution to this problem? Does anyone from Altera read this stuff? Does anyone there actually care? Do they want to sell silicon??? 

--- Quote End ---  

 

 

You do realize you are responding to a 7 year old post? 

 

That being said, this is a USER forum, not a forum maintained by Altera/Intel employees. So expecting to get instantaneous support here, especially when you throw an attitude, is not likely. 

 

Are you using a true Altera stand alone USB Blaster, or an integrated one on a development board? What version(s) of Quartus are you using? What version of Windows OS? 

 

Does Windows report that the USB device is recognized and that the driver is installed? 

 

The more info you provide up front the better answers you will get to any questions you ask. 

 

When you just come in here with a lousy attitude you are not going to get much sympathetic help.
0 Kudos
Altera_Forum
Honored Contributor II
3,144 Views

 

--- Quote Start ---  

You do realize you are responding to a 7 year old post? 

... 

 

When you just come in here with a lousy attitude you are not going to get much sympathetic help. 

--- Quote End ---  

 

 

 

I am sorry. I threw a tantrum and it won't happen again.  

 

I am using two boards from Terasic and have used Quartus 9.2sp2 with Windows 7. I have a DE0 and the Cyclone V GX starter kit. 

 

In the past, the programmer simply worked without a hitch on the DE0 and then, after another good few sessions, it stopped working. I forget the exact error when it quit working, but now, when I try to program the part, I select the USB Blaster hardware and add it in the dialog box, but then a message about the Ethernet blaster server pops up. I don't have an ethernet server, nor an ethernet blaster.  

 

I have fiddled with various versions of Quartus, learning that Windows 7 support did not come until v. 10.0.  

 

I have tried re-installing the drivers to no avail. I managed to get the stand alone programmer for version 10.0 to work one evening, but after that, it quit like all the rest. I fail to recall exactly what steps I took to finally make the programmer be recognized and function. Following the directions to the best of my ability has failed me. 

 

My frustration, and I thank you if you will even consider listening to me after my rant, is that this same topic has appeared numerous times and none of the solutions seem to work.  

 

I am at work now and away from my hardware, but if desired, and you might indulge me, I can post a screen shot. 

 

Again, sorry for my childish behavior. 

 

Oh, I forgot to say, I have not even attempted anything with my new GX development board as I figure the problem will be the same there.
0 Kudos
Altera_Forum
Honored Contributor II
3,144 Views

In Quartus programmer, don't click the "Add Hardware" button - that is specifically to add Ethernet blaster hardware (not to add USB blaster) and so will always bring up the Ethernet blaster screen. 

 

All you need to is select the USB blaster you want to use from the "Currently Selected Hardware" dropdown menu and click close. 

 

If your USB blaster is not showing up in the list, check that the device is showing in Device manager as installed and working. If it is missing the driver, update the driver in dev manager by selecting the option to manually specify driver, then select the "C:\altera\<version>\quartus\drivers" folder (check the include subfolders box), and windows will do the leg work to find the correct driver in that folder. If your device is installed and working but not showing in QP, make sure the "Altera JTAG Server" service is running in the windows services.  

 

If all else fails, try reinstalling Quartus (the JTAG server can sometimes get corrupted, full uninstall/reinstall is the best way to clean that up).
0 Kudos
Altera_Forum
Honored Contributor II
3,144 Views

According to the Altera website, there is no legacy version 9.2sp2. Is that a typo and you really have 9.1sp2? 

 

That being said, that is ~really~ old (released Nov 2009). Any reason why you are using such an old version? 

 

I use 13.0sp1 to support my older Terasic boards (Cyclone II based) and then 16.0 for my newer Cyclone IV and V boards. Haven't moved to 16.1 or 17.0 yet because no reason to. 

 

I run on a Windows 7sp1 64b system and have no USB Blaster problems at all programming a DE1 and DE0-NANO boards, and using a discrete Terasic USB Blaster clone.
0 Kudos
Altera_Forum
Honored Contributor II
3,144 Views

Thank you for the help. It was on target. Now I may move on and grow my skills in Verilog HDL. 

 

I will head back to the VHDL forum and try to help out. 

 

My problem(s) were all procedural with the tool. 

 

AK6DN, please accept my apologies. 

 

Clyde
0 Kudos
Altera_Forum
Honored Contributor II
3,144 Views

On a brand new PC (Win10 x64 32GB) just installed Q17.0. Plugged in the USB-Blaster. Loaded a project, opened the programmer and the USB-Blaster was no where to be found. Found it in the device manager with a problem. No known reason for the problem. Tried updating the driver by selected the Blaster directories under Drivers - didn't work. Came to think of it; had the same problem last time I played with Q16 on another machine with a DE0-Nano-SOC. Found that if you chose just the Drivers directory and not the Blaster directory it finds the driver needed and pops up in the programmer. Why Altera/Intel has this been a problem for so many years and how many years more are we going to wait for it just to work when it's plugged in?

0 Kudos
Reply