Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20641 Discussions

Connection multiple PFL (Parallel Flash Loader) ip cores to project

Shpegun60
Beginner
257 Views

Hello! I need to configure 2 device (Passive serial mode) from different flash memories, i connected 2 independent pfl modules and try autodetect device but only one flash memory was provided. It doesnt work. How i can do it? 

0 Kudos
2 Replies
NurAiman_M_Intel
Employee
240 Views

Hi,


Thank you for contacting Intel community.


Kindly refer to PFL user guide below:


https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/ug/ug_pfl.pdf


Regards,

Aiman


0 Kudos
NurAiman_M_Intel
Employee
223 Views

We do not receive any response from you to the previous answer that I have provided. This thread will be transitioned to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.


0 Kudos
Reply