Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20704 Discussions

Cyclone III: access to the CRC32 register inside the project

Altera_Forum
Honored Contributor II
867 Views

Hi to All! 

 

I'm trying to get access to the Pre-Computed CRC32, that is using in the error detection machine (Error Detection Mode is switched On). 

 

I have found the reference to the cycloneiii_crcblock function in cyc3_ciii51013.pdf document, but I still cannot understand, how I can read the precomputed CRC32 with this function. 

 

I'm using VHDL in my project. Please, give me some examples how I can solve my problem. 

 

Thanks for your support 

 

Regards 

Vladimir
0 Kudos
0 Replies
Reply