Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
21611 Discussions

Flash memory without sopcbuilder

Altera_Forum
Honored Contributor II
1,201 Views

Does someone know if is possible using the flash memory without sopc builder? 

I try to use it but in data out pin i read nothing. 

 

I've created an entity  

 

entity nuovoflash is  

port ( 

resetgenerale: in std_logic; 

clock: in std_logic; 

address : out STD_LOGIC_vector (21 downto 0); 

data : INout STD_LOGIC_vector (7 downto 0); 

ingressi: buffer std_logic_vector (7 downto 0); 

uscite : out std_logic_vector (7 downto 0);  

chipenable : out STD_LOGIC; 

outputenable http://www.alteraforum.com/images/smilies/redface.gif ut std_logic ; 

reset : out STD_LOGIC; 

writeenable : out STD_LOGIC 

 

); 

end nuovoflash; 

 

The output pins are controlled by a fsm controller. 

In the modelsim simulation the signals are good. 

The memory should work but it doesn't work. 

 

I've also created an interface entity to separate the data inout in data in and data out but i don't read the output bit from the oscilloscope when i connect the board to it.
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
498 Views

where do you want to read the answers ? 

you're double posting your questions.
0 Kudos
Altera_Forum
Honored Contributor II
498 Views

Sorry. 

Answer me to the other thread. 

This is the link 

http://www.alteraforum.com/forum/showthread.php?t=19156
0 Kudos
Reply