Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20688 Discussions

How to get encryption library files for ncverilog or ncsim?

Altera_Forum
Honored Contributor II
3,436 Views

Dear Sir, 

 

I'm working on a simulation for DDR3 on ncverilog. I got an error as below that 

some encryption files seem missed in library. How to fix it? Thanks. 

 

 

ncelab(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

twentynm_io_aux_encrypted inst ( 

ncelab: *E,CUVMUR (/project/altera/eda/sim_lib/twentynm_atoms.v,6515|29): instance 'arria10_emif.emif_0.arch.arch_inst.io_aux_inst.io_aux.inst' of design unit 'twentynm_io_aux_encrypted' is unresolved in 'twentynm_ver.twentynm_io_aux:module'. 

altsyncram the_altsyncram 

ncelab: *W,CUVWSP (/project/ogw/arria10_emif/arria10_emif/altera_avalon_onchip_memory2_170/sim/arria10_emif_altera_avalon_onchip_memory2_170_oxs2nwq.v,62|26): 2 output ports were not connected: 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47614): q_b 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47615): eccstatus 

 

 

altsyncram the_altsyncram 

ncelab: *W,CUVWSI (/project/ogw/arria10_emif/arria10_emif/altera_avalon_onchip_memory2_170/sim/arria10_emif_altera_avalon_onchip_memory2_170_oxs2nwq.v,62|26): 14 input ports were not connected: 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47594): wren_b 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47595): rden_a 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47596): rden_b 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47598): data_b 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47600): address_b 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47602): clock1 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47604): clocken1 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47605): clocken2 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47606): clocken3 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47607): aclr0 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47608): aclr1 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47610): byteena_b 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47611): addressstall_a 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47612): addressstall_b 

 

 

 

Peter Chang
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
2,068 Views

Hi, 

 

I've found some encryption files in the libraries. Then, I got some messages as below about the key file. 

How can I fix it? Thanks. 

 

 

Peter  

 

 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

const integer mega = 1000000; 

ncvlog: *W,VARIST (/project/altera//eda/sim_lib/altera_lnsim.sv,1187|21): Local static variable with initializer requires 'static' keyword. 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 25424064) 

ncvlog: *F,DECERR (/project/altera//eda/sim_lib/cadence/twentynm_atoms_ncrypt.v,35|94): Error while decrypting : unable to load key :(invalid/blank keyOwner/KeyName (file ),may be wrong key is used for encryption/decryption ). 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

`pragma protect data_block encoding= (enctype="base64", line_length= 76, bytes= 13883120) 

ncvlog: *F,DECERR (/project/altera//eda/sim_lib/cadence/twentynm_hssi_atoms_ncrypt.v,37|90): Error while decrypting : unable to load key :(NCPROTECT_KEYDB environment variable not set). 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

`pragma protect data_block encoding= (enctype="base64", line_length= 76, bytes= 9883328) 

ncvlog: *F,DECERR (/project/altera//eda/sim_lib/cadence/twentynm_hip_atoms_ncrypt.v,37|89): Error while decrypting : unable to load key :(NCPROTECT_KEYDB environment variable not set). 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

DEFINE std $CDS_ROOT/tools/inca/files/STD/  

 

 

 

 

 

 

 

 

 

 

 

--- Quote Start ---  

Dear Sir, 

 

I'm working on a simulation for DDR3 on ncverilog. I got an error as below that 

some encryption files seem missed in library. How to fix it? Thanks. 

 

 

ncelab(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

twentynm_io_aux_encrypted inst ( 

ncelab: *E,CUVMUR (/project/altera/eda/sim_lib/twentynm_atoms.v,6515|29): instance 'arria10_emif.emif_0.arch.arch_inst.io_aux_inst.io_aux.inst' of design unit 'twentynm_io_aux_encrypted' is unresolved in 'twentynm_ver.twentynm_io_aux:module'. 

altsyncram the_altsyncram 

ncelab: *W,CUVWSP (/project/ogw/arria10_emif/arria10_emif/altera_avalon_onchip_memory2_170/sim/arria10_emif_altera_avalon_onchip_memory2_170_oxs2nwq.v,62|26): 2 output ports were not connected: 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47614): q_b 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47615): eccstatus 

 

 

altsyncram the_altsyncram 

ncelab: *W,CUVWSI (/project/ogw/arria10_emif/arria10_emif/altera_avalon_onchip_memory2_170/sim/arria10_emif_altera_avalon_onchip_memory2_170_oxs2nwq.v,62|26): 14 input ports were not connected: 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47594): wren_b 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47595): rden_a 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47596): rden_b 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47598): data_b 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47600): address_b 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47602): clock1 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47604): clocken1 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47605): clocken2 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47606): clocken3 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47607): aclr0 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47608): aclr1 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47610): byteena_b 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47611): addressstall_a 

ncelab: (/project/altera/eda/sim_lib/altera_mf.v,47612): addressstall_b 

 

 

 

Peter Chang 

--- Quote End ---  

0 Kudos
Altera_Forum
Honored Contributor II
2,068 Views

Hi, 

 

 

Those encryption files are not for ncsim or ncverilog, but for modelsim. 

I made a mistake, because I didn't check its content. Thus, I still need  

the encryption files for ncsim or ncverilog. How to get them? 

Thanks. 

 

 

 

Peter Chang 

 

 

 

 

--- Quote Start ---  

Hi, 

 

I've found some encryption files in the libraries. Then, I got some messages as below about the key file. 

How can I fix it? Thanks. 

 

 

Peter  

 

 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

const integer mega = 1000000; 

ncvlog: *W,VARIST (/project/altera//eda/sim_lib/altera_lnsim.sv,1187|21): Local static variable with initializer requires 'static' keyword. 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 25424064) 

ncvlog: *F,DECERR (/project/altera//eda/sim_lib/cadence/twentynm_atoms_ncrypt.v,35|94): Error while decrypting : unable to load key :(invalid/blank keyOwner/KeyName (file ),may be wrong key is used for encryption/decryption ). 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

`pragma protect data_block encoding= (enctype="base64", line_length= 76, bytes= 13883120) 

ncvlog: *F,DECERR (/project/altera//eda/sim_lib/cadence/twentynm_hssi_atoms_ncrypt.v,37|90): Error while decrypting : unable to load key :(NCPROTECT_KEYDB environment variable not set). 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

`pragma protect data_block encoding= (enctype="base64", line_length= 76, bytes= 9883328) 

ncvlog: *F,DECERR (/project/altera//eda/sim_lib/cadence/twentynm_hip_atoms_ncrypt.v,37|89): Error while decrypting : unable to load key :(NCPROTECT_KEYDB environment variable not set). 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

ncvlog(64): 12.20-s003: (c) Copyright 1995-2013 Cadence Design Systems, Inc. 

DEFINE std $CDS_ROOT/tools/inca/files/STD/  

--- Quote End ---  

0 Kudos
Reply