Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20733 Discussions

How to ignore Latches for Timing analysis

Altera_Forum
Honored Contributor II
1,043 Views

Hi , 

 

I have latches (as combinational loops) which must be used in my design. These latches acts as start/end point for timing analysis.  

So my design is not getting properly optimized. 

 

Is there any way, i can ignore these latches from timing analysis & for synthesis/Fitter optimizations. 

I have tried False path/ disable timing constraints and it didn't work. Also tried switch -no_latch for timing but its not useful. 

 

Regards, 

NKD
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
294 Views

Hello , 

 

Can I guide tool to ignore latches as sequential elements. Either in synthesis or Fitter stage. 

 

Regards, 

NKD
0 Kudos
Reply