Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20704 Discussions

How to set timing constraint for differential signal?

XQSHEN
Novice
717 Views

For example, clk_p, clk_n

0 Kudos
1 Solution
SyafieqS
Moderator
678 Views

Hi Shen,


Only the clock_p of the differential ports needs to be constrained.


If both are constrained, the tool will take them as two separate clock definitions and analyze inter clock paths between them.

This can lead to incorrect requirements.


Similarly, only the P-side of the differential data port needs to be constrained in the input delay and output delay constraints.

The analysis of the clock_n is exactly the same as the clock_p


View solution in original post

0 Kudos
3 Replies
XQSHEN
Novice
695 Views

should I use one of them to set timing constraint or both of them?

normally how to write sdc for such signal?

0 Kudos
SyafieqS
Moderator
679 Views

Hi Shen,


Only the clock_p of the differential ports needs to be constrained.


If both are constrained, the tool will take them as two separate clock definitions and analyze inter clock paths between them.

This can lead to incorrect requirements.


Similarly, only the P-side of the differential data port needs to be constrained in the input delay and output delay constraints.

The analysis of the clock_n is exactly the same as the clock_p


0 Kudos
SyafieqS
Moderator
659 Views

I’m glad that your question has been addressed, I now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.


0 Kudos
Reply