Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20641 Discussions

MAX 10 Modular ADC core problem with reserved pins

Altera_Forum
Honored Contributor II
1,262 Views

I want to use only TSD (temperature sensor diode) to monitor chip (10M50SAE144I7G) temperature. When I instantiate 'Altera modular ADC core' in my project with all channels disabled except TSD, fitter says that dedicated input pins ~ALTERA_ADC1INx~ is assigned. 

Error (176310): Can't place multiple pins assigned to pin location Pin_8 (IOPAD_X0_Y36_N14) Info (176311): Pin E100_RX_DV is assigned to pin location Pin_8 (IOPAD_X0_Y36_N14) Info (176311): Pin ~ALTERA_ADC1IN3~ is assigned to pin location Pin_8 (IOPAD_X0_Y36_N14) 

Is there way to disable pin assignment for unused ADC input/channels? 

 

From datasheet: 

 

--- Quote Start ---  

You can use the dual function pins in an ADC block as general purpose I/O (GPIO) pins if you do not use the ADC.  

--- Quote End ---  

So, if i use ADC block only for TSD... I can not use these pins as GPIO?
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
437 Views

Hi, 

 

Try loading sample projects. 

https://cloud.altera.com/devstore/platform/15.1.0/standard/nios-ii-on-die-temperature-sensor-design-example/ 

 

--- Quote Start ---  

 

From datasheet: 

So, if i use ADC block only for TSD... I can not use these pins as GPIO? 

--- Quote End ---  

 

 

Yes,even TSD is part of ADC. 

 

Best Regards, 

Anand Raj Shankar 

(This message was posted on behalf of Intel Corporation)
0 Kudos
Altera_Forum
Honored Contributor II
437 Views

Thanx, Anand Raj Shankar! 

 

Is there a chance that this behavior will be changed in the future?
0 Kudos
Reply