Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20764 Discussions

Nios2ide 10.0 Unresolved inclusion

Altera_Forum
Honored Contributor II
1,101 Views

Hi all 

 

Nios2ide 10.0 complains about unresolved inclusion for # include <stdbool.h> # include <stdlib.h> 

 

These two# include are in a Nios II Library that I have added to the project.  

Do I need to add a include path in the libraries properties? If so, which path? 

 

 

Thanks in advance, 

 

amf
0 Kudos
0 Replies
Reply