Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20642 Discussions

PLL Integer mode vs Fractional modes in PLLs (Cyclone10 transceiver)

amildm
Valued Contributor I
2,009 Views

Hi All,

 

 What's a difference between the Integer mode vs Fractional modes in the transceivers located in Cyclone 10?
 
How can I set each one of the modes?
 
Thank you!
 
0 Kudos
1 Solution
Farabi
Employee
1,978 Views

Hi, sorry to keep you waiting. 

 

Arria 10 FPGA has 2 types of PLL : Fractional and Integer PLL. 

Fractional PLL is used when you want to generate a uncommon clock frequencies with fractional numbers such as 6.625Mhz, 3.125Mhz etc clock frequency. 

 

Integer PLL is used when you want to generate a common clock frequencies like 10Mhz, 25Mhz, 125Mhz etc. 

 

how to use and set fractional PLL  is shown in this link: 

https://www.intel.com/content/dam/altera-www/global/ja_JP/pdfs/literature/an/an661.pdf

 

https://www.intel.com/content/www/us/en/docs/programmable/683640/current/implementing-fractional-pll-reconfiguration-33682.html

 

and this document shows how to calculate Fractional PLL divider value during setup:

https://www.intel.com/content/dam/altera-www/global/en_US/kdb/rd08062012_921/how_fractional_divisor_is_calculated_in_quartus_rev4.pdf

 

while integer PLL is more straight forward setup, this is the documentation of it : 

https://www.intel.com/content/www/us/en/docs/programmable/683359/17-0/altera-phase-locked-loop-ip-core-user-guide.html

 

If you are new to PLL in Intel FPGA, I would suggest you to get familiar with integer PLL first, then slowly move to Fractional PLL. 

 

best regards,
Farabi

View solution in original post

4 Replies
Farabi
Employee
1,994 Views

Hi, 

I am Farabi who will support you on this request. Let me check the documentation on the integer/fractional PLL setup information. 

I will come back when I have the information you requested asap. 

 

regards,
Farabi

0 Kudos
amildm
Valued Contributor I
1,984 Views
0 Kudos
Farabi
Employee
1,979 Views

Hi, sorry to keep you waiting. 

 

Arria 10 FPGA has 2 types of PLL : Fractional and Integer PLL. 

Fractional PLL is used when you want to generate a uncommon clock frequencies with fractional numbers such as 6.625Mhz, 3.125Mhz etc clock frequency. 

 

Integer PLL is used when you want to generate a common clock frequencies like 10Mhz, 25Mhz, 125Mhz etc. 

 

how to use and set fractional PLL  is shown in this link: 

https://www.intel.com/content/dam/altera-www/global/ja_JP/pdfs/literature/an/an661.pdf

 

https://www.intel.com/content/www/us/en/docs/programmable/683640/current/implementing-fractional-pll-reconfiguration-33682.html

 

and this document shows how to calculate Fractional PLL divider value during setup:

https://www.intel.com/content/dam/altera-www/global/en_US/kdb/rd08062012_921/how_fractional_divisor_is_calculated_in_quartus_rev4.pdf

 

while integer PLL is more straight forward setup, this is the documentation of it : 

https://www.intel.com/content/www/us/en/docs/programmable/683359/17-0/altera-phase-locked-loop-ip-core-user-guide.html

 

If you are new to PLL in Intel FPGA, I would suggest you to get familiar with integer PLL first, then slowly move to Fractional PLL. 

 

best regards,
Farabi

Farabi
Employee
1,960 Views

Hi, 

 

We do not receive any response from you to the previous question/reply/answer that I have provided. This thread will be transitioned to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you

 

best regards,
Farabi

0 Kudos
Reply