- Marcar como novo
- Marcador
- Subscrever
- Silenciar
- Subscrever fonte RSS
- Destacar
- Imprimir
- Denunciar conteúdo inapropriado
Good afternoon colleagues,
I write to you as I have had a problem with the Simuation Waveform Editor of Quartus Prime Lite Edition. I am beginner in programming with this environment and I wanted to do the same thing as the guy in this short youtube tutorial: https://www.youtube.com/watch?v=1W6Aw6sZCjo&list=PLc3Oyld0v-1i4rhkFrwxb5GSfIoAQcYuE&index=4
The code I have written for this entity is the followin one and it compiles well:
library IEEE;
use IEEE.std_logic_1164.all;
entity prueba is
port (
A: in std_logic;
B: in std_logic;
Y: out std_logic
);
end entity;
architecture dataflow of prueba is
begin
Y<= A xor B;
end architecture;
What I wanted to do is to test the code with the University Program VWF and I did the same steps as the guy in the video. The problem appears when I Run the simulation and I get the following error message:
**** Running the ModelSim simulation ****
c:/intelfpga/23.1std/questa_fse/win64//vsim -c -do prueba.do
** Fatal: Failed to initialize licensing environment. License environment not set correctly.
Unable to checkout a license. Vsim is closing.
** Error: Invalid license environment. Application closing.
Error.
I really don't know what could be happening. I would appreciate if you can help me
Link copiado
- Marcar como novo
- Marcador
- Subscrever
- Silenciar
- Subscrever fonte RSS
- Destacar
- Imprimir
- Denunciar conteúdo inapropriado
@PedroJServian, the problem has nothing to do with your VHDL code. As the error messages clearly indicate, you haven't correctly set up your Questa license.
For detailed information on setting up the Questa license, see:
https://www.intel.com/content/www/us/en/docs/programmable/683472/24-1/and-software-license.html
- Marcar como novo
- Marcador
- Subscrever
- Silenciar
- Subscrever fonte RSS
- Destacar
- Imprimir
- Denunciar conteúdo inapropriado
Hello
You could also verify the specifics of the license for Questa here.
- Marcar como novo
- Marcador
- Subscrever
- Silenciar
- Subscrever fonte RSS
- Destacar
- Imprimir
- Denunciar conteúdo inapropriado
We haven't received a response from you, and I trust that previous message has clarified any concerns or confusion. I'll now transfer this conversation to community support. If you have any new inquiries, feel free to initiate a new thread for assistance from Intel experts. Otherwise, community members are available to support you in this ongoing discussion. Thank you.

- Subscrever fonte RSS
- Marcar tópico como novo
- Marcar tópico como lido
- Flutuar este Tópico para o utilizador atual
- Marcador
- Subscrever
- Página amigável para impressora