Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20688 Discussions

QSF and PIN file dont match

Altera_Forum
Honored Contributor II
839 Views

hello all, i'm very new to the area ,and dealing with few issues. 

 

i'm using the quartos II 8.2 version , i added few pins to a working design , both to the design and to the QSF file , 

 

after the run of the proccess i checked the .PIN output file , and none of the new added signal/pins were included (it said they are user preserved but didnt call them by my names.) , 

 

does anybody have a clue? 

(i have been checking other and older posts but didnt find the answer) 

 

Thanks.
0 Kudos
0 Replies
Reply